OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_lfsr32.vhd] - Diff between revs 217 and 223

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 217 Rev 223
Line 49... Line 49...
);
);
port(
port(
  Clock                      : in  std_logic;
  Clock                      : in  std_logic;
  Reset                      : in  std_logic;
  Reset                      : in  std_logic;
  --
  --
  Bus_Address                : in  ADDRESS_TYPE;
  Open8_Bus                  : in OPEN8_BUS_TYPE;
  Rd_Enable                  : in  std_logic;
 
  Rd_Data                    : out DATA_TYPE
  Rd_Data                    : out DATA_TYPE
);
);
end entity;
end entity;
 
 
architecture behave of o8_lfsr32 is
architecture behave of o8_lfsr32 is
 
 
  constant User_Addr         : std_logic_vector(15 downto 1)
  constant User_Addr         : std_logic_vector(15 downto 1)
                               := Address(15 downto 1);
                               := Address(15 downto 1);
  alias  Comp_Addr           is Bus_Address(15 downto 1);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 1);
  alias  Reg_Sel             is Bus_Address(0);
  alias  Reg_Sel             is Open8_Bus.Address(0);
  signal Reg_Sel_q           : std_logic := '0';
  signal Reg_Sel_q           : std_logic := '0';
  signal Addr_Match          : std_logic := '0';
  signal Addr_Match          : std_logic := '0';
  signal Rd_En               : std_logic := '0';
  signal Rd_En               : std_logic := '0';
 
 
  signal d0                  : std_logic := '0';
  signal d0                  : std_logic := '0';
  signal lfsr                : std_logic_vector(31 downto 0) := x"00000000";
  signal lfsr                : std_logic_vector(31 downto 0) := x"00000000";
  signal lfsr_q              : std_logic_vector(31 downto 0) := x"00000000";
  signal lfsr_q              : std_logic_vector(31 downto 0) := x"00000000";
 
 
begin
begin
 
 
  Addr_Match                 <= Rd_Enable when Comp_Addr = User_Addr else '0';
  Addr_Match                 <= Open8_Bus.Rd_En when Comp_Addr = User_Addr else
 
                                '0';
  d0                         <= lfsr(31) xnor lfsr(21) xnor lfsr(1) xnor lfsr(0);
  d0                         <= lfsr(31) xnor lfsr(21) xnor lfsr(1) xnor lfsr(0);
 
 
  lfsr_proc: process( Clock, Reset )
  lfsr_proc: process( Clock, Reset )
  begin
  begin
    if( Reset = Reset_Level )then
    if( Reset = Reset_Level )then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.