OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_ltc2355_2p.vhd] - Diff between revs 223 and 224

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 223 Rev 224
Line 28... Line 28...
--            :  parallel data buses.
--            :  parallel data buses.
--
--
-- Notes      : Depends on the fact that the two LTC2355 converters are wired
-- Notes      : Depends on the fact that the two LTC2355 converters are wired
--            :  with their SCLK and CONV lines tied together, and DATA1 and
--            :  with their SCLK and CONV lines tied together, and DATA1 and
--            :  DATA2 independently routed to separate I/O pins.
--            :  DATA2 independently routed to separate I/O pins.
 
--
 
--            : Works best when the clock frequency is 96MHz or lower. Module
 
--            :  will divide the clock by 2 if it is greater than this.
 
--
 
-- Revision History
 
-- Author          Date     Change
 
------------------ -------- ---------------------------------------------------
 
-- Seth Henry      04/16/20 Revision block added
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
Line 40... Line 48...
library work;
library work;
  use work.open8_pkg.all;
  use work.open8_pkg.all;
 
 
entity o8_ltc2355_2p is
entity o8_ltc2355_2p is
generic(
generic(
  Address                    : ADDRESS_TYPE;
  Clock_Frequency            : real;
  Reset_Level                : std_logic;
  Address                    : ADDRESS_TYPE
  Sys_Freq                   : real
 
);
);
port(
port(
  Clock                      : in  std_logic; -- 96MHz MAX for proper operation
 
  Reset                      : in  std_logic;
 
  uSec_Tick                  : in  std_logic;
 
  --
 
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  Rd_Data                    : out DATA_TYPE;
  Rd_Data                    : out DATA_TYPE;
  Interrupt                  : out std_logic;
  Interrupt                  : out std_logic;
  -- ADC IF
  -- ADC IF
  ADC_SCLK                   : out std_logic;
  ADC_SCLK                   : out std_logic;
Line 62... Line 65...
);
);
end entity;
end entity;
 
 
architecture behave of o8_ltc2355_2p is
architecture behave of o8_ltc2355_2p is
 
 
  constant Divide_SCLK_by_2  : boolean := (Sys_Freq > 96000000.0);
  alias Clock                is Open8_Bus.Clock;
 
  alias Reset                is Open8_Bus.Reset;
 
  alias uSec_Tick            is Open8_Bus.uSec_Tick;
 
 
 
  constant Divide_SCLK_by_2  : boolean := (Clock_Frequency > 96000000.0);
 
 
  constant User_Addr         : std_logic_vector(15 downto 3) := Address(15 downto 3);
  constant User_Addr         : std_logic_vector(15 downto 3) := Address(15 downto 3);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 3);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 3);
  alias  Reg_Sel             is Open8_Bus.Address(2 downto 0);
  alias  Reg_Sel             is Open8_Bus.Address(2 downto 0);
  signal Reg_Sel_q           : std_logic_vector(2 downto 0);
  signal Reg_Sel_q           : std_logic_vector(2 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.