OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_mavg_8ch_16b_64d.vhd] - Diff between revs 324 and 325

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 324 Rev 325
Line 68... Line 68...
  alias Reset                is Open8_Bus.Reset;
  alias Reset                is Open8_Bus.Reset;
 
 
  constant User_Addr         : std_logic_vector(15 downto 3)
  constant User_Addr         : std_logic_vector(15 downto 3)
                               := Address(15 downto 3);
                               := Address(15 downto 3);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 3);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 3);
  signal Addr_Match          : std_logic;
  signal Addr_Match          : std_logic := '0';
 
 
  alias  Reg_Sel_d           is Open8_Bus.Address(2 downto 0);
  alias  Reg_Sel_d           is Open8_Bus.Address(2 downto 0);
  signal Reg_Sel_q           : std_logic_vector(2 downto 0);
  signal Reg_Sel_q           : std_logic_vector(2 downto 0) := "000";
  signal Wr_En_d             : std_logic := '0';
  signal Wr_En_d             : std_logic := '0';
  signal Wr_En_q             : std_logic := '0';
  signal Wr_En_q             : std_logic := '0';
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
  signal Wr_Data_q           : DATA_TYPE := x"00";
  signal Wr_Data_q           : DATA_TYPE := x"00";
  signal Rd_En_d             : std_logic := '0';
  signal Rd_En_d             : std_logic := '0';
Line 125... Line 125...
  type ACCUM_ARRAY is array (0 to 7) of unsigned(21 downto 0);
  type ACCUM_ARRAY is array (0 to 7) of unsigned(21 downto 0);
  signal Accumulators        : ACCUM_ARRAY;
  signal Accumulators        : ACCUM_ARRAY;
 
 
  signal AVG_Channel         : std_logic_vector(2 downto 0) := (others => '0');
  signal AVG_Channel         : std_logic_vector(2 downto 0) := (others => '0');
 
 
  signal AVG_Out             : std_logic_vector(15 downto 0);
  signal AVG_Out             : std_logic_vector(15 downto 0) := (others => '0');
  alias AVG_Out_L            is AVG_Out(7 downto 0);
  alias AVG_Out_L            is AVG_Out(7 downto 0);
  alias AVG_Out_H            is AVG_Out(7 downto 0);
  alias AVG_Out_H            is AVG_Out(7 downto 0);
 
 
  signal AVG_Int_En          : std_logic := '0';
  signal AVG_Int_En          : std_logic := '0';
  signal Flush_Int_En        : std_logic := '0';
  signal Flush_Int_En        : std_logic := '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.