OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_mavg_8ch_16b_64d.vhd] - Diff between revs 325 and 327

Show entire file | Details | Blame | View Log

Rev 325 Rev 327
Line 127... Line 127...
 
 
  signal AVG_Channel         : std_logic_vector(2 downto 0) := (others => '0');
  signal AVG_Channel         : std_logic_vector(2 downto 0) := (others => '0');
 
 
  signal AVG_Out             : std_logic_vector(15 downto 0) := (others => '0');
  signal AVG_Out             : std_logic_vector(15 downto 0) := (others => '0');
  alias AVG_Out_L            is AVG_Out(7 downto 0);
  alias AVG_Out_L            is AVG_Out(7 downto 0);
  alias AVG_Out_H            is AVG_Out(7 downto 0);
  alias AVG_Out_H            is AVG_Out(15 downto 8);
 
 
  signal AVG_Int_En          : std_logic := '0';
  signal AVG_Int_En          : std_logic := '0';
  signal Flush_Int_En        : std_logic := '0';
  signal Flush_Int_En        : std_logic := '0';
 
 
begin
begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.