OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_max7221.vhd] - Diff between revs 213 and 217

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 213 Rev 217
Line 62... Line 62...
 
 
architecture behave of o8_max7221 is
architecture behave of o8_max7221 is
 
 
  signal FIFO_Reset     : std_logic;
  signal FIFO_Reset     : std_logic;
 
 
  constant User_Addr    : std_logic_vector(15 downto 4) := Address(15 downto 4);
  constant User_Addr         : std_logic_vector(15 downto 4) :=
 
                                 Address(15 downto 4);
  alias  Comp_Addr      is Bus_Address(15 downto 4);
  alias  Comp_Addr      is Bus_Address(15 downto 4);
 
 
  signal FIFO_Wr_En     : std_logic;
  signal FIFO_Wr_En     : std_logic;
  signal FIFO_Wr_Data   : std_logic_vector(11 downto 0);
  signal FIFO_Wr_Data   : std_logic_vector(11 downto 0);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.