OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_pwm16.vhd] - Diff between revs 217 and 223

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 217 Rev 223
Line 57... Line 57...
port(
port(
  Clock                      : in  std_logic;
  Clock                      : in  std_logic;
  Reset                      : in  std_logic;
  Reset                      : in  std_logic;
  uSec_Tick                  : in  std_logic;
  uSec_Tick                  : in  std_logic;
  --
  --
  PWM_Out                    : out std_logic;
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  -- Bus interface
 
  Bus_Address                : in  ADDRESS_TYPE;
 
  Wr_Enable                  : in  std_logic;
 
  Wr_Data                    : in  DATA_TYPE;
 
  Rd_Enable                  : in  std_logic;
 
  Rd_Data                    : out DATA_TYPE;
  Rd_Data                    : out DATA_TYPE;
  Interrupt                  : out std_logic
  Interrupt                  : out std_logic;
 
  --
 
  PWM_Out                    : out std_logic
);
);
end entity;
end entity;
 
 
architecture behave of o8_pwm16 is
architecture behave of o8_pwm16 is
 
 
  constant User_Addr         : std_logic_vector(15 downto 3) :=
  constant User_Addr         : std_logic_vector(15 downto 3) :=
                                Address(15 downto 3);
                                Address(15 downto 3);
 
 
  alias  Comp_Addr           is Bus_Address(15 downto 3);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 3);
  signal Addr_Match          : std_logic := '0';
  signal Addr_Match          : std_logic := '0';
 
 
  alias  Reg_Addr            is Bus_Address(2 downto 0);
  alias  Reg_Addr            is Open8_Bus.Address(2 downto 0);
  signal Reg_Addr_q          : std_logic_vector(2 downto 0) := (others => '0');
  signal Reg_Addr_q          : std_logic_vector(2 downto 0) := (others => '0');
 
 
  signal Wr_En               : std_logic := '0';
  signal Wr_En               : std_logic := '0';
  signal Wr_Data_q           : DATA_TYPE := x"00";
  signal Wr_Data_q           : DATA_TYPE := x"00";
  signal Rd_En               : std_logic := '0';
  signal Rd_En               : std_logic := '0';
Line 118... Line 115...
      Period_Ctr             <= (others => '0');
      Period_Ctr             <= (others => '0');
      Width_Ctr              <= (others => '0');
      Width_Ctr              <= (others => '0');
      PWM_Out                <= '0';
      PWM_Out                <= '0';
    elsif( rising_edge(Clock) )then
    elsif( rising_edge(Clock) )then
      Reg_Addr_q             <= Reg_Addr;
      Reg_Addr_q             <= Reg_Addr;
      Wr_Data_q              <= Wr_Data;
      Wr_Data_q              <= Open8_Bus.Wr_Data;
      Wr_En                  <= Addr_Match and Wr_Enable;
      Wr_En                  <= Addr_Match and Open8_Bus.Wr_En;
 
 
      if( Wr_En = '1' )then
      if( Wr_En = '1' )then
        case( Reg_Addr_q )is
        case( Reg_Addr_q )is
          when "000" =>
          when "000" =>
            PWM_Period_l     <= Wr_Data_q;
            PWM_Period_l     <= Wr_Data_q;
Line 138... Line 135...
          when others => null;
          when others => null;
        end case;
        end case;
      end if;
      end if;
 
 
      Rd_Data                <= (others => '0');
      Rd_Data                <= (others => '0');
      Rd_En                  <= Addr_Match and Rd_Enable;
      Rd_En                  <= Addr_Match and Open8_Bus.Rd_En;
      if( Rd_En = '1' )then
      if( Rd_En = '1' )then
        case( Reg_Addr_q )is
        case( Reg_Addr_q )is
          when "000" =>
          when "000" =>
            Rd_Data          <= PWM_Period_l;
            Rd_Data          <= PWM_Period_l;
          when "001" =>
          when "001" =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.