OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_rtc.vhd] - Diff between revs 176 and 177

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 176 Rev 177
Line 30... Line 30...
library work;
library work;
  use work.open8_pkg.all;
  use work.open8_pkg.all;
 
 
entity o8_rtc is
entity o8_rtc is
generic(
generic(
  Sys_Freq              : real;
  Address               : ADDRESS_TYPE;
  Reset_Level           : std_logic;
  Reset_Level           : std_logic;
  Address               : ADDRESS_TYPE
  Sys_Freq              : real
);
);
port(
port(
  Clock                 : in  std_logic;
  Clock                 : in  std_logic;
  Reset                 : in  std_logic;
  Reset                 : in  std_logic;
  uSec_Tick             : out std_logic;
  uSec_Tick             : out std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.