OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_sdlc_if.vhd] - Diff between revs 198 and 199

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 198 Rev 199
Line 70... Line 70...
library work;
library work;
  use work.sdlc_serial_pkg.all;
  use work.sdlc_serial_pkg.all;
 
 
entity o8_sdlc_if is
entity o8_sdlc_if is
generic(
generic(
 
  Monitor_Enable             : boolean := true;
 
  Attach_Monitor_to_CPU_Side : boolean := false;
  Poly_Init                  : std_logic_vector(15 downto 0) := x"0000";
  Poly_Init                  : std_logic_vector(15 downto 0) := x"0000";
  Set_As_Master              : boolean := true;
  Set_As_Master              : boolean := true;
  Clock_Offset               : integer := 6;
  Clock_Offset               : integer := 6;
  BitClock_Freq              : real := 500000.0;
  BitClock_Freq              : real := 500000.0;
  Sys_Freq                   : real := 100000000.0;
  Sys_Freq                   : real := 100000000.0;
Line 203... Line 205...
    wren_b                   => DP_Wr_En,
    wren_b                   => DP_Wr_En,
    q_a                      => Rd_Data_i,
    q_a                      => Rd_Data_i,
    q_b                      => DP_Rd_Data
    q_b                      => DP_Rd_Data
  );
  );
 
 
 
Attach_to_CPU_side: if( Monitor_Enable and Attach_Monitor_to_CPU_Side )generate
 
 
 
  U_MON: entity work.sdlc_monitor
 
  port map(
 
    clock                    => Clock,
 
    address                  => RAM_Lower_Addr,
 
    data                     => Wr_Data,
 
    wren                     => RAM_Wr_En,
 
    q                        => open
 
  );
 
end generate;
 
 
 
Attach_to_Int_side: if( Monitor_Enable and not Attach_Monitor_to_CPU_Side )generate
 
 
 
  U_MON: entity work.sdlc_monitor
 
  port map(
 
    clock                    => Clock,
 
    address                  => DP_Addr,
 
    data                     => DP_Wr_Data,
 
    wren                     => DP_Wr_En,
 
    q                        => open
 
  );
 
 
 
end generate;
 
 
  U_BCLK : entity work.sdlc_serial_clk
  U_BCLK : entity work.sdlc_serial_clk
  generic map(
  generic map(
    Set_As_Master            => Set_As_Master,
    Set_As_Master            => Set_As_Master,
    BitClock_Freq            => BitClock_Freq,
    BitClock_Freq            => BitClock_Freq,
    Reset_Level              => Reset_Level,
    Reset_Level              => Reset_Level,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.