OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_sdlc_if.vhd] - Diff between revs 280 and 281

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 280 Rev 281
Line 130... Line 130...
  signal Reg_Wr_En_q         : std_logic := '0';
  signal Reg_Wr_En_q         : std_logic := '0';
  signal TX_Ctl_Clk          : std_logic := '0';
  signal TX_Ctl_Clk          : std_logic := '0';
  signal TX_Ctl_Len          : std_logic := '0';
  signal TX_Ctl_Len          : std_logic := '0';
 
 
  -- Dual-port memory
  -- Dual-port memory
  signal DP_B_Addr           : std_logic_vector(8 downto 0);
  signal DP_B_Addr           : std_logic_vector(8 downto 0) := (others => '0');
  signal DP_B_Wr_Data        : DATA_TYPE;
  signal DP_B_Wr_Data        : DATA_TYPE := x"00";
  signal DP_B_Wr_En          : std_logic;
  signal DP_B_Wr_En          : std_logic := '0';
  signal DP_B_Rd_Data        : DATA_TYPE;
  signal DP_B_Rd_Data        : DATA_TYPE := x"00";
 
 
  -- Internal definitions
  -- Internal definitions
  constant SDLC_Flag         : DATA_TYPE := x"7E";
  constant SDLC_Flag         : DATA_TYPE := x"7E";
 
 
  constant CK_REGISTER       : DATA_TYPE := x"FE";
  constant CK_REGISTER       : DATA_TYPE := x"FE";
Line 183... Line 183...
  signal BClk_Adv            : std_logic := '0';
  signal BClk_Adv            : std_logic := '0';
  signal BClk_Accum          : std_logic_vector(31 downto 0) := (others => '0');
  signal BClk_Accum          : std_logic_vector(31 downto 0) := (others => '0');
  signal BClk_Div            : std_logic := '0';
  signal BClk_Div            : std_logic := '0';
  signal BClk_Okay_SR        : std_logic_vector(3 downto 0)  := (others => '0');
  signal BClk_Okay_SR        : std_logic_vector(3 downto 0)  := (others => '0');
 
 
 
 
  signal BClk_SR             : std_logic_vector(2 downto 0)  := (others => '0');
  signal BClk_SR             : std_logic_vector(2 downto 0)  := (others => '0');
 
 
  constant CLK_RATIO_R       : real := Clock_Frequency / (1.0 * BitClock_Frequency);
  constant CLK_RATIO_R       : real := Clock_Frequency / (1.0 * BitClock_Frequency);
  constant CLK_DEVIATION_5P  : real := CLK_RATIO_R * 0.05;
  constant CLK_DEVIATION_5P  : real := CLK_RATIO_R * 0.05;
  constant CLK_RATIO_ADJ_R   : real := CLK_RATIO_R + CLK_DEVIATION_5P;
  constant CLK_RATIO_ADJ_R   : real := CLK_RATIO_R + CLK_DEVIATION_5P;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.