Line 20... |
Line 20... |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
|
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
|
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
|
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
|
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
--
|
--
|
-- VHDL Units : o8_sys_timer
|
-- VHDL Units : o8_sys_timer
|
-- Description: Provides an 8-bit microsecond resolution timer for generating
|
-- Description: Provides an 8-bit milli/microsecond resolution timer for
|
-- : periodic interrupts for the Open8 CPU.
|
-- : generating periodic interrupts for the Open8 CPU.
|
--
|
--
|
--
|
--
|
-- Revision History
|
-- Revision History
|
-- Author Date Change
|
-- Author Date Change
|
------------------ -------- ---------------------------------------------------
|
------------------ -------- ---------------------------------------------------
|
Line 33... |
Line 33... |
-- Seth Henry 12/19/19 Renamed Tmr_Out to Interrupt
|
-- Seth Henry 12/19/19 Renamed Tmr_Out to Interrupt
|
-- Seth Henry 04/09/20 Modified timer update logic to reset the timer on
|
-- Seth Henry 04/09/20 Modified timer update logic to reset the timer on
|
-- interval write.
|
-- interval write.
|
-- Seth Henry 04/16/20 Modified to use Open8 bus record
|
-- Seth Henry 04/16/20 Modified to use Open8 bus record
|
-- Seth Henry 05/18/20 Added write qualification input
|
-- Seth Henry 05/18/20 Added write qualification input
|
|
-- Seth Henry 11/01/20 Changed description to note different resolutions
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_arith.all;
|
use ieee.std_logic_arith.all;
|