OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_sys_timer_ii.vhd] - Diff between revs 331 and 333

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 331 Rev 333
Line 75... Line 75...
architecture behave of o8_sys_timer_ii is
architecture behave of o8_sys_timer_ii is
 
 
  alias Clock                is Open8_Bus.Clock;
  alias Clock                is Open8_Bus.Clock;
  alias Reset                is Open8_Bus.Reset;
  alias Reset                is Open8_Bus.Reset;
  alias uSec_Tick            is Open8_Bus.uSec_Tick;
  alias uSec_Tick            is Open8_Bus.uSec_Tick;
  alias CPU_Wr_En            is Open8_Bus.Wr_En
  alias CPU_Wr_En            is Open8_Bus.Wr_En;
  alias CPU_Rd_En            is Open8_Bus.Rd_En
  alias CPU_Rd_En            is Open8_Bus.Rd_En;
 
 
  constant User_Addr         : std_logic_vector(15 downto 2) :=
  constant User_Addr         : std_logic_vector(15 downto 2) :=
                                Address(15 downto 2);
                                Address(15 downto 2);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
  signal Addr_Match          : std_logic := '0';
  signal Addr_Match          : std_logic := '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.