OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm12.vhd] - Diff between revs 180 and 189

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 180 Rev 189
Line 63... Line 63...
);
);
end entity;
end entity;
 
 
architecture behave of o8_vdsm12 is
architecture behave of o8_vdsm12 is
 
 
  function ceil_log2 (x : in natural) return natural is
 
    variable retval     : natural;
 
  begin
 
    retval              := 1;
 
    while ((2**retval) - 1) < x loop
 
      retval            := retval + 1;
 
    end loop;
 
    return retval;
 
  end function;
 
 
 
  constant User_Addr    : std_logic_vector(15 downto 2)
  constant User_Addr    : std_logic_vector(15 downto 2)
                          := Address(15 downto 2);
                          := Address(15 downto 2);
  alias  Comp_Addr      is Bus_Address(15 downto 2);
  alias  Comp_Addr      is Bus_Address(15 downto 2);
  alias  Reg_Addr       is Bus_Address(1 downto 0);
  alias  Reg_Addr       is Bus_Address(1 downto 0);
  signal Reg_Sel        : std_logic_vector(1 downto 0);
  signal Reg_Sel        : std_logic_vector(1 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.