OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm12.vhd] - Diff between revs 189 and 191

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 189 Rev 191
Line 176... Line 176...
  io_reg: process( Clock, Reset )
  io_reg: process( Clock, Reset )
  begin
  begin
    if( Reset = Reset_Level )then
    if( Reset = Reset_Level )then
      Reg_Sel           <= "00";
      Reg_Sel           <= "00";
      Rd_En             <= '0';
      Rd_En             <= '0';
      Rd_Data           <= x"00";
      Rd_Data           <= OPEN8_NULLBUS;
      Wr_En             <= '0';
      Wr_En             <= '0';
      Wr_Data_q         <= x"00";
      Wr_Data_q         <= x"00";
      DAC_Val_LB        <= x"00";
      DAC_Val_LB        <= x"00";
      DAC_Val_UB        <= x"00";
      DAC_Val_UB        <= x"00";
      DAC_Val           <= (others => '0');
      DAC_Val           <= (others => '0');
Line 201... Line 201...
            DAC_Val     <= DAC_Val_UB(3 downto 0) & DAC_Val_LB;
            DAC_Val     <= DAC_Val_UB(3 downto 0) & DAC_Val_LB;
          when others => null;
          when others => null;
        end case;
        end case;
      end if;
      end if;
 
 
      Rd_Data           <= (others => '0');
      Rd_Data           <= OPEN8_NULLBUS;
      Rd_En             <= Addr_Match and Rd_Enable;
      Rd_En             <= Addr_Match and Rd_Enable;
      if( Rd_En = '1' )then
      if( Rd_En = '1' )then
        case( Reg_Sel )is
        case( Reg_Sel )is
          when "00" =>
          when "00" =>
            Rd_Data     <= DAC_Val_LB;
            Rd_Data     <= DAC_Val_LB;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.