OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm12.vhd] - Diff between revs 244 and 268

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 244 Rev 268
Line 41... Line 41...
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
 
use ieee.std_logic_misc.all;
 
 
library work;
library work;
  use work.open8_pkg.all;
  use work.open8_pkg.all;
 
 
entity o8_vdsm12 is
entity o8_vdsm12 is
generic(
generic(
 
  Invert_Output              : boolean := FALSE;
  Default_Value              : std_logic_vector(11 downto 0) := x"000";
  Default_Value              : std_logic_vector(11 downto 0) := x"000";
  Address                    : ADDRESS_TYPE
  Address                    : ADDRESS_TYPE
);
);
port(
port(
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
Line 301... Line 303...
      end if;
      end if;
 
 
      Period_Ctr            <= Period_Ctr - 1;
      Period_Ctr            <= Period_Ctr - 1;
      Width_Ctr             <= Width_Ctr - 1;
      Width_Ctr             <= Width_Ctr - 1;
 
 
      DACOut                <= '1';
      if( Invert_Output )then
 
        DACOut              <= or_reduce(Width_Ctr);
 
      else
 
        DACOut              <= nor_reduce(Width_Ctr);
 
      end if;
 
 
      if( Width_Ctr = 0 )then
      if( Width_Ctr = 0 )then
        DACOut              <= '0';
 
        Width_Ctr           <= (others => '0');
        Width_Ctr           <= (others => '0');
      end if;
      end if;
 
 
      if( Period_Ctr = 0 )then
      if( Period_Ctr = 0 )then
        Period_Ctr          <= PWM_Period;
        Period_Ctr          <= PWM_Period;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.