URL
https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk
[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm8.vhd] - Diff between revs 223 and 224
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 223 |
Rev 224 |
Line 31... |
Line 31... |
-- Revision History
|
-- Revision History
|
-- Author Date Change
|
-- Author Date Change
|
------------------ -------- ---------------------------------------------------
|
------------------ -------- ---------------------------------------------------
|
-- Seth Henry 06/23/16 Design start
|
-- Seth Henry 06/23/16 Design start
|
-- Seth Henry 04/10/20 Code Cleanup
|
-- Seth Henry 04/10/20 Code Cleanup
|
|
-- Seth Henry 04/16/20 Modified to use Open8 bus record
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_arith.all;
|
use ieee.std_logic_arith.all;
|
Line 43... |
Line 44... |
use work.open8_pkg.all;
|
use work.open8_pkg.all;
|
|
|
entity o8_vdsm8 is
|
entity o8_vdsm8 is
|
generic(
|
generic(
|
Default_Value : DATA_TYPE := x"00";
|
Default_Value : DATA_TYPE := x"00";
|
Reset_Level : std_logic;
|
|
Address : ADDRESS_TYPE
|
Address : ADDRESS_TYPE
|
);
|
);
|
port(
|
port(
|
Clock : in std_logic;
|
|
Reset : in std_logic;
|
|
--
|
|
Open8_Bus : in OPEN8_BUS_TYPE;
|
Open8_Bus : in OPEN8_BUS_TYPE;
|
Rd_Data : out DATA_TYPE;
|
Rd_Data : out DATA_TYPE;
|
--
|
--
|
DACout : out std_logic
|
DACout : out std_logic
|
);
|
);
|
end entity;
|
end entity;
|
|
|
architecture behave of o8_vdsm8 is
|
architecture behave of o8_vdsm8 is
|
|
|
|
alias Clock is Open8_Bus.Clock;
|
|
alias Reset is Open8_Bus.Reset;
|
|
|
constant User_Addr : std_logic_vector(15 downto 0)
|
constant User_Addr : std_logic_vector(15 downto 0)
|
:= Address(15 downto 0);
|
:= Address(15 downto 0);
|
alias Comp_Addr is Open8_Bus.Address(15 downto 0);
|
alias Comp_Addr is Open8_Bus.Address(15 downto 0);
|
signal Addr_Match : std_logic;
|
signal Addr_Match : std_logic;
|
signal Wr_En : std_logic;
|
signal Wr_En : std_logic;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.