OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [sdlc_crc16_ccitt.vhd] - Diff between revs 202 and 205

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 202 Rev 205
Line 49... Line 49...
);
);
end entity;
end entity;
 
 
architecture behave of sdlc_crc16_ccitt is
architecture behave of sdlc_crc16_ccitt is
 
 
  signal Calc_En             : std_logic;
  signal Calc_En             : std_logic    := '0';
  signal Buffer_En           : std_logic;
  signal Buffer_En           : std_logic    := '0';
  signal Data                : DATA_IN_TYPE;
  signal Data                : DATA_IN_TYPE := x"00";
  signal Exr                 : DATA_IN_TYPE;
  signal Exr                 : DATA_IN_TYPE := x"00";
  signal Reg                 : CRC_OUT_TYPE;
  signal Reg                 : CRC_OUT_TYPE := x"0000";
 
 
begin
begin
 
 
  Exr(0)                     <= Reg(0) xor Data(0);
  Exr(0)                     <= Reg(0) xor Data(0);
  Exr(1)                     <= Reg(1) xor Data(1);
  Exr(1)                     <= Reg(1) xor Data(1);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.