Line 23... |
Line 23... |
--
|
--
|
-- VHDL Units : status_led
|
-- VHDL Units : status_led
|
-- Description: Provides a multi-state status LED controller
|
-- Description: Provides a multi-state status LED controller
|
--
|
--
|
-- LED Modes:
|
-- LED Modes:
|
-- 0x00 - LED is fully off
|
-- 0x0 - LED is fully off
|
-- 0x01 - LED is fully on
|
-- 0x1 - LED is fully on
|
-- 0x02 - LED is dimmed to 50%
|
-- 0x2 - LED is dimmed to 50%
|
-- 0x03 - LED Toggles at 1Hz
|
-- 0x3 - LED Toggles at 1Hz
|
-- 0x04 - LED fades in and out
|
-- 0x4 - LED fades in and out
|
--
|
--
|
-- Revision History
|
-- Revision History
|
-- Author Date Change
|
-- Author Date Change
|
------------------ -------- ---------------------------------------------------
|
------------------ -------- ---------------------------------------------------
|
-- Seth Henry 05/24/20 Created as a separate sub-component
|
-- Seth Henry 05/24/20 Created as a separate sub-component
|
Line 42... |
Line 42... |
use ieee.std_logic_arith.all;
|
use ieee.std_logic_arith.all;
|
use ieee.std_logic_misc.all;
|
use ieee.std_logic_misc.all;
|
|
|
entity status_led is
|
entity status_led is
|
generic(
|
generic(
|
|
Sys_Freq : real;
|
Reset_Level : std_logic
|
Reset_Level : std_logic
|
);
|
);
|
port(
|
port(
|
Clock : in std_logic;
|
Clock : in std_logic;
|
Reset : in std_logic;
|
Reset : in std_logic;
|
Line 54... |
Line 55... |
);
|
);
|
end entity;
|
end entity;
|
|
|
architecture behave of status_led is
|
architecture behave of status_led is
|
|
|
signal Dim50Pct_Out : std_logic;
|
-- hold the supplied integer.
|
|
function ceil_log2 (x : in natural) return natural is
|
|
variable retval : natural;
|
|
begin
|
|
retval := 1;
|
|
while ((2**retval) - 1) < x loop
|
|
retval := retval + 1;
|
|
end loop;
|
|
return retval;
|
|
end function;
|
|
|
|
signal Dim50Pct_Out : std_logic := '0';
|
|
|
|
constant TAP1 : integer := 16;
|
|
constant TAP2 : integer := 21;
|
|
constant TAP3 : integer := 22;
|
|
constant TAP4 : integer := 23;
|
|
|
|
constant Init_Seed : std_logic_vector(23 downto 0) := x"000001";
|
|
|
signal Half_Hz_Timer : std_logic_vector(15 downto 0);
|
signal d0 : std_logic := '0';
|
constant HALF_HZ_PRD : std_logic_vector(15 downto 0) :=
|
signal LFSR_poly : std_logic_vector(23 downto 0) := (others => '0');
|
conv_std_logic_vector(500000,16);
|
|
signal One_Hz_Out : std_logic;
|
signal Cycle_Toggle : std_logic;
|
|
|
constant TIMER_MSB : integer range 9 to 20 := 18;
|
constant TIMER_MSB : integer range 9 to 20 := 18;
|
|
|
signal Fade_Timer1 : std_logic_vector(TIMER_MSB downto 0);
|
signal Fade_Timer1 : std_logic_vector(TIMER_MSB downto 0) :=
|
signal Fade_Timer2 : std_logic_vector(TIMER_MSB downto 0);
|
(others => '0');
|
signal Fade_Out : std_logic;
|
signal Fade_Timer2 : std_logic_vector(TIMER_MSB downto 0) :=
|
|
(others => '0');
|
|
signal Fade_Out : std_logic := '0';
|
|
|
begin
|
begin
|
|
|
Output_FF: process( Clock, Reset )
|
Output_FF: process( Clock, Reset )
|
begin
|
begin
|
Line 81... |
Line 102... |
when "001" =>
|
when "001" =>
|
LED_Out <= '1';
|
LED_Out <= '1';
|
when "010" =>
|
when "010" =>
|
LED_Out <= Dim50Pct_Out;
|
LED_Out <= Dim50Pct_Out;
|
when "011" =>
|
when "011" =>
|
LED_Out <= One_Hz_Out;
|
LED_Out <= Cycle_Toggle;
|
when "100" =>
|
when "100" =>
|
LED_Out <= Fade_out;
|
LED_Out <= Fade_out;
|
when others => null;
|
when others => null;
|
end case;
|
end case;
|
end if;
|
end if;
|
end process;
|
end process;
|
|
|
|
d0 <= LFSR_poly(TAP4) xnor LFSR_poly(TAP3) xnor
|
|
LFSR_poly(TAP2) xnor LFSR_poly(TAP1);
|
|
|
Timer_proc: process( Clock, Reset )
|
Timer_proc: process( Clock, Reset )
|
begin
|
begin
|
if( Reset = Reset_Level )then
|
if( Reset = Reset_Level )then
|
Dim50Pct_Out <= '0';
|
Dim50Pct_Out <= '0';
|
Half_Hz_Timer <= (others => '0');
|
LFSR_poly <= Init_Seed;
|
One_Hz_Out <= '0';
|
Cycle_Toggle <= '0';
|
Fade_Timer1 <= (others => '0');
|
Fade_Timer1 <= (others => '0');
|
Fade_Timer2 <= (others => '0');
|
Fade_Timer2 <= (others => '0');
|
Fade_out <= '0';
|
Fade_out <= '0';
|
elsif( rising_edge(Clock) )then
|
elsif( rising_edge(Clock) )then
|
Dim50Pct_Out <= not Dim50Pct_Out;
|
Dim50Pct_Out <= not Dim50Pct_Out;
|
|
|
Half_Hz_Timer <= Half_Hz_Timer - 1;
|
LFSR_poly <= LFSR_poly(22 downto 0) & d0;
|
if( Half_Hz_Timer = 0 )then
|
if( LFSR_poly = Init_Seed )then
|
Half_Hz_Timer <= HALF_HZ_PRD;
|
Cycle_Toggle <= not Cycle_Toggle;
|
One_Hz_Out <= not One_Hz_Out;
|
|
end if;
|
end if;
|
|
|
Fade_Timer1 <= Fade_Timer1 - 1;
|
Fade_Timer1 <= Fade_Timer1 - 1;
|
Fade_Timer2 <= Fade_Timer2 - 1;
|
Fade_Timer2 <= Fade_Timer2 - 1;
|
if( or_reduce(Fade_Timer2) = '0' )then
|
if( or_reduce(Fade_Timer2) = '0' )then
|