OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [bench/] [vhdl/] [hitter_wrapper.vhd] - Diff between revs 3 and 20

Show entire file | Details | Blame | View Log

Rev 3 Rev 20
Line 77... Line 77...
           variable l : line;
           variable l : line;
           variable counted : integer;
           variable counted : integer;
        begin
        begin
           write (l, String'("Exercising hitter_sim"));
           write (l, String'("Exercising hitter_sim"));
           writeline (output, l);
           writeline (output, l);
 
           PUSH_BUTTONS_5BITS_TRI_I <= std_logic_vector'("00000");
 
 
           RX_CLK <= '0';
           RX_CLK <= '0';
           wait for 1 ns;
           wait for 1 ns;
 
 
           for counted in 0 to 30 loop
           for counted in 0 to 100 loop
              -- Instruct:
              -- Instruct:
 
 
              if (counted = 2) then
              if (counted = 2) then
                 PUSH_BUTTONS_5BITS_TRI_I <= std_logic_vector'("11111");
                 PUSH_BUTTONS_5BITS_TRI_I <= std_logic_vector'("00001");
              else
              else
                 PUSH_BUTTONS_5BITS_TRI_I <= std_logic_vector'("00000");
                 PUSH_BUTTONS_5BITS_TRI_I <= std_logic_vector'("00000");
              end if;
              end if;
 
 
              RX_CLK <= '1';
              RX_CLK <= '1';
Line 103... Line 104...
                 case LEDS_POSITIONS_TRI_O(i) is
                 case LEDS_POSITIONS_TRI_O(i) is
                    when '1' => write(l, character'('1'));
                    when '1' => write(l, character'('1'));
                    when others => write(l, character'('0'));
                    when others => write(l, character'('0'));
                 end case;
                 end case;
              end loop;
              end loop;
 
--               writeline(output, l);
 
              write (l, String'("  "));
 
 
 
              -- led key: 
 
              -- LEDS_POSITIONS_TRI_O(0) <= alight;           -- C
 
              -- LEDS_POSITIONS_TRI_O(1) <= processing;       -- W
 
              -- LEDS_POSITIONS_TRI_O(2) <= result_one;       -- S
 
              -- LEDS_POSITIONS_TRI_O(3) <= result_two;       -- N
 
              -- LEDS_POSITIONS_TRI_O(4) <= result_all_ok;    -- E
 
              write (l, String'(" Active: "));
 
              write(l, std_logic'image(LEDS_POSITIONS_TRI_O(1)) );
 
              write (l, String'(" Processing: "));
 
              write(l, std_logic'image(LEDS_POSITIONS_TRI_O(0)) );
 
              write (l, String'(" Result_one: "));
 
              write(l, std_logic'image(LEDS_POSITIONS_TRI_O(2)) );
 
              write (l, String'(" Result_two: "));
 
              write(l, std_logic'image(LEDS_POSITIONS_TRI_O(3)) );
 
              write (l, String'("All_OK: "));
 
              write(l, std_logic'image(LEDS_POSITIONS_TRI_O(4)) );
              writeline(output, l);
              writeline(output, l);
 
 
              -- Reset:
              -- Reset:
              RX_CLK <= '0';
              RX_CLK <= '0';
              wait for 1 ns;
              wait for 1 ns;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.