OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [bench/] [vhdl/] [search_item_wrapper.vhd] - Diff between revs 12 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 13
Line 140... Line 140...
              b2_sec: std_logic_vector(55 downto 0);    -- 7x 8bits securities identifier
              b2_sec: std_logic_vector(55 downto 0);    -- 7x 8bits securities identifier
              b2_id: std_logic_vector(15 downto 0);      -- unique/identifier/counter
              b2_id: std_logic_vector(15 downto 0);      -- unique/identifier/counter
         end record;
         end record;
 
 
         --  The patterns to apply.
         --  The patterns to apply.
         constant px1: std_logic_vector(15 downto 0) := (others => 'Z');
         constant zz_px: std_logic_vector(15 downto 0) := (others => 'Z');
         constant qty1: std_logic_vector(15 downto 0) := (others => 'Z');
         constant zz_qty: std_logic_vector(15 downto 0) := (others => 'Z');
         constant sec1: std_logic_vector(55 downto 0) := (others => 'Z');
         constant zz_sec: std_logic_vector(55 downto 0) := (others => 'Z');
         constant id1: std_logic_vector(15 downto 0) := (others => 'Z');
         constant zz_id: std_logic_vector(15 downto 0) := (others => 'Z');
 
         constant set_qty: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000010000");
 
         constant test_px: std_logic_vector(15 downto 0) := std_logic_vector'("0000000011100000");
 
         constant test_qty: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000001100");
 
         constant remain_qty: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000000100");
 
         constant test_sec: std_logic_vector(55 downto 0) := std_logic_vector'(X"ABA543332178DC");
 
         constant test_id: std_logic_vector(15 downto 0) := std_logic_vector'("0110011001100110");
 
         constant other_px: std_logic_vector(15 downto 0) := std_logic_vector'("0000000110000000");
 
         constant other_sec: std_logic_vector(55 downto 0) := std_logic_vector'(X"CDC423354634AA");
         type input_pattern_array is array (natural range <>) of input_pattern_type;
         type input_pattern_array is array (natural range <>) of input_pattern_type;
--           constant input_patterns : input_pattern_array :=
           constant input_patterns : input_pattern_array :=
--             ( ('0', std_logic_vector'("ZZZZ"), std_logic_vector'("ZZZ"), px1, qty1, sec1, id1),
             ( ('1', std_logic_vector'("00000"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id), -- reset
--               ('0', std_logic_vector'("ZZZZ"), std_logic_vector'("ZZZ"), px1, qty1, sec1, id1) );
               ('0', std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id), -- nothing
 
               ('1', std_logic_vector'("00110"), std_logic_vector'("000"), test_px, set_qty, test_sec, zz_id),  -- sec/set
 
               ('1', std_logic_vector'("00101"), std_logic_vector'("111"), test_px, test_qty, test_sec, zz_id),   -- incoming px 
 
               ('1', std_logic_vector'("00101"), std_logic_vector'("111"), test_px, zz_qty, other_sec, zz_id),   -- incoming px (wrong security)
 
               ('1', std_logic_vector'("00101"), std_logic_vector'("111"), other_px, test_qty, test_sec, zz_id),   -- incoming px (hight price)
 
               ('0', std_logic_vector'("00101"), std_logic_vector'("111"), test_px, test_qty, test_sec, zz_id) ); -- incoming px (part qty)
         type output_pattern_array is array (natural range <>) of output_pattern_type;
         type output_pattern_array is array (natural range <>) of output_pattern_type;
--           constant output_patterns : output_pattern_array :=
           constant output_patterns : output_pattern_array :=
--             ( (std_logic_vector'("ZZZZ"), std_logic_vector'("ZZZ"), (others => 'Z'), (others => 'Z'), (others => 'Z'), (others => 'Z')),
             ( (std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- reset
--               (std_logic_vector'("ZZZZ"), std_logic_vector'("ZZZ"), (others => 'Z'), (others => 'Z'), (others => 'Z'), (others => 'Z')) );
               (std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- nothing
 
               (std_logic_vector'("00110"), std_logic_vector'("000"), test_px, set_qty, test_sec, test_id),  -- sec/set
 
               (std_logic_vector'("00101"), std_logic_vector'("000"), test_px, test_qty, test_sec, test_id),  -- incoming px
 
               (std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- incoming px (wrong security)
 
               (std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- incoming px (high price)
 
               (std_logic_vector'("00101"), std_logic_vector'("000"), test_px, remain_qty, test_sec, test_id) );  -- incoming px (part qty)
 
 
   begin
   begin
        write (l, String'("Exercising search_item"));
        write (l, String'("Exercising search_item"));
        writeline (output, l);
        writeline (output, l);
 
        RX_CLK <= '0';
 
        wait for 1 ns;
 
 
           --  Check each pattern.
           --  Check each pattern.
       --    for i in patterns'range loop
           for i in input_patterns'range loop
              --  Set the inputs.
              --  Set the inputs.
       --       i0 <= patterns(i).i0;
              b1_px_valid <= input_patterns(i).b1_px_valid;
       --       i1 <= patterns(i).i1;
              b1_px_type <= input_patterns(i).b1_px_type;
       --       ci <= patterns(i).ci;
              b1_buy_sell<= input_patterns(i).b1_buy_sell;
              --  Wait for the results.
              b1_px <= input_patterns(i).b1_px;
       --       wait for 1 ns;
              b1_qty <= input_patterns(i).b1_qty;
 
              b1_sec <= input_patterns(i).b1_sec;
 
              b1_id <= input_patterns(i).b1_id;
 
              --  Clock once for the results.
 
              RX_CLK <= '1';
 
              wait for 1 ns;
              --  Check the outputs.
              --  Check the outputs.
       --       assert s = patterns(i).s
              write(l, i);
       --          report "bad sum value" severity error;
              writeline (output, l);
       --       assert co = patterns(i).co
              assert b2_px_type = output_patterns(i).b2_px_type report "search_item_wrapper: bad px type" severity error;
      --           report "bad carray out value" severity error;
              assert b2_buy_sell = output_patterns(i).b2_buy_sell report "search_item_wrapper: bad buy_sell" severity error;
       --    end loop;
              assert b2_px = output_patterns(i).b2_px report "search_item_wrapper: bad px" severity error;
 
              assert b2_qty = output_patterns(i).b2_qty report "search_item_wrapper: bad qty" severity error;
 
              assert b2_sec = output_patterns(i).b2_sec report "search_item_wrapper: bad sec" severity error;
 
              assert b2_id = output_patterns(i).b2_id report "search_item_wrapper: bad id" severity error;
 
              --  Clock down.
 
              RX_CLK <= '0';
 
              wait for 1 ns;
 
           end loop;
      --     assert false report "end of test" severity note;
      --     assert false report "end of test" severity note;
           --  Wait forever; this will finish the simulation.
 
      --     wait;
 
 
 
        write (l, String'("Done search_item"));
        write (l, String'("Done search_item"));
        writeline (output, l);
        writeline (output, l);
 
 
        wait;
        wait;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.