Line 78... |
Line 78... |
b2_qty: out std_logic_vector(15 downto 0); -- quantity
|
b2_qty: out std_logic_vector(15 downto 0); -- quantity
|
b2_sec: out std_logic_vector(55 downto 0); -- 7x 8bits securities identifier
|
b2_sec: out std_logic_vector(55 downto 0); -- 7x 8bits securities identifier
|
b2_id: out std_logic_vector(15 downto 0) -- unique/identifier/counter
|
b2_id: out std_logic_vector(15 downto 0) -- unique/identifier/counter
|
);
|
);
|
end component;
|
end component;
|
for search_item_0: search_item use entity work.search_item;
|
-- for search_item_0: search_item use entity work.search_item;
|
signal RX_CLK: std_logic;
|
signal RX_CLK: std_logic;
|
-- control flag(s) on the incoming bus
|
-- control flag(s) on the incoming bus
|
signal b1_px_valid: std_logic;
|
signal b1_px_valid: std_logic;
|
-- pxdata: in price_packet
|
-- pxdata: in price_packet
|
signal b1_px_type: std_logic_vector(4 downto 0);
|
signal b1_px_type: std_logic_vector(4 downto 0);
|
Line 118... |
Line 118... |
b2_px => b2_px,
|
b2_px => b2_px,
|
b2_qty => b2_qty,
|
b2_qty => b2_qty,
|
b2_sec => b2_sec,
|
b2_sec => b2_sec,
|
b2_id => b2_id
|
b2_id => b2_id
|
);
|
);
|
|
|
process
|
process
|
variable l : line;
|
variable l : line;
|
|
|
type input_pattern_type is record
|
type input_pattern_type is record
|
-- control flag(s) on the incoming bus
|
-- control flag(s) on the incoming bus
|
Line 197... |
Line 198... |
b1_id <= input_patterns(i).b1_id;
|
b1_id <= input_patterns(i).b1_id;
|
-- Clock once for the results.
|
-- Clock once for the results.
|
RX_CLK <= '1';
|
RX_CLK <= '1';
|
wait for 1 ns;
|
wait for 1 ns;
|
-- Check the outputs.
|
-- Check the outputs.
|
write(l, i);
|
assert b2_px_type = output_patterns(i).b2_px_type report "search_item_wrapper: test: " & integer'image(i) & " bad px type" severity error;
|
writeline (output, l);
|
assert b2_buy_sell = output_patterns(i).b2_buy_sell report "search_item_wrapper: test: " & integer'image(i) & " bad buy_sell" severity error;
|
assert b2_px_type = output_patterns(i).b2_px_type report "search_item_wrapper: bad px type" severity error;
|
assert b2_px = output_patterns(i).b2_px report "search_item_wrapper: test: " & integer'image(i) & " bad px" severity error;
|
assert b2_buy_sell = output_patterns(i).b2_buy_sell report "search_item_wrapper: bad buy_sell" severity error;
|
assert b2_qty = output_patterns(i).b2_qty report "search_item_wrapper: test: " & integer'image(i) & " bad qty" severity error;
|
assert b2_px = output_patterns(i).b2_px report "search_item_wrapper: bad px" severity error;
|
assert b2_sec = output_patterns(i).b2_sec report "search_item_wrapper: test: " & integer'image(i) & " bad sec" severity error;
|
assert b2_qty = output_patterns(i).b2_qty report "search_item_wrapper: bad qty" severity error;
|
assert b2_id = output_patterns(i).b2_id report "search_item_wrapper: test: " & integer'image(i) & " bad id" severity error;
|
assert b2_sec = output_patterns(i).b2_sec report "search_item_wrapper: bad sec" severity error;
|
|
assert b2_id = output_patterns(i).b2_id report "search_item_wrapper: bad id" severity error;
|
|
-- Clock down.
|
-- Clock down.
|
RX_CLK <= '0';
|
RX_CLK <= '0';
|
wait for 1 ns;
|
wait for 1 ns;
|
b1_px_valid <= '0';
|
|
RX_CLK <= '1';
|
|
wait for 1 ns;
|
|
RX_CLK <= '0';
|
|
wait for 1 ns;
|
|
end loop;
|
end loop;
|
-- assert false report "end of test" severity note;
|
|
|
|
write (l, String'("Done search_item"));
|
write (l, String'("Done search_item"));
|
writeline (output, l);
|
writeline (output, l);
|
|
|
wait;
|
wait;
|
end process;
|
end process;
|
end behaviour;
|
end behaviour;
|
|
|
|
|
No newline at end of file
|
No newline at end of file
|