Line 106... |
Line 106... |
b2_qty: out std_logic_vector(15 downto 0); -- quantity
|
b2_qty: out std_logic_vector(15 downto 0); -- quantity
|
b2_sec: out std_logic_vector(55 downto 0); -- 7x 8bits securities identifier
|
b2_sec: out std_logic_vector(55 downto 0); -- 7x 8bits securities identifier
|
b2_id: out std_logic_vector(15 downto 0) -- unique/identifier/counter
|
b2_id: out std_logic_vector(15 downto 0) -- unique/identifier/counter
|
);
|
);
|
end component;
|
end component;
|
signal searchitems_count : integer := 0;
|
signal searchitems_count : integer;
|
signal state : integer range 0 to 16 := 16;
|
signal state : integer range 0 to 16;
|
-- pxdata: store price_packet
|
-- pxdata: store price_packet
|
signal store_px_type: std_logic_vector(4 downto 0) := (others => 'Z');
|
signal store_px_type: std_logic_vector(4 downto 0) := (others => 'Z');
|
signal store_buy_sell: std_logic_vector(2 downto 0) := (others => 'Z'); -- 111 buy, 000 sell
|
signal store_buy_sell: std_logic_vector(2 downto 0) := (others => 'Z'); -- 111 buy, 000 sell
|
signal store_px: std_logic_vector(15 downto 0) := (others => 'Z'); -- price
|
signal store_px: std_logic_vector(15 downto 0) := (others => 'Z'); -- price
|
signal store_qty: std_logic_vector(15 downto 0) := (others => 'Z'); -- quantity
|
signal store_qty: std_logic_vector(15 downto 0) := (others => 'Z'); -- quantity
|
Line 236... |
Line 236... |
store_qty <= search_qty_i;
|
store_qty <= search_qty_i;
|
store_sec <= search_sec_i;
|
store_sec <= search_sec_i;
|
store_id <= search_id_i;
|
store_id <= search_id_i;
|
--b2_px_type <= std_logic_vector'(std_logic_vector'("00000"));
|
--b2_px_type <= std_logic_vector'(std_logic_vector'("00000"));
|
state <= 10;
|
state <= 10;
|
else
|
else -- nothing 10000 (avoid ZZZZZ)
|
-- no action - flash up Z's
|
-- no action - flash up Z's
|
order_px_type_o <= (others => 'Z');
|
order_px_type_o <= std_logic_vector'("10000"); -- avoiding ZZZZZ
|
order_buy_sell_o <= (others => 'Z'); -- 111 buy, 000 sell
|
order_buy_sell_o <= (others => 'Z'); -- 111 buy, 000 sell
|
order_px_o <= (others => 'Z'); -- price
|
order_px_o <= (others => 'Z'); -- price
|
order_qty_o <= (others => 'Z'); -- quantity
|
order_qty_o <= (others => 'Z'); -- quantity
|
order_sec_o <= (others => 'Z'); -- 7x 8bits securities identifier
|
order_sec_o <= (others => 'Z'); -- 7x 8bits securities identifier
|
order_id_o <= (others => 'Z'); -- unique/identifier/counter
|
order_id_o <= (others => 'Z'); -- unique/identifier/counter
|