OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [rtl/] [vhdl/] [search_item.vhd] - Diff between revs 18 and 21

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 21
Line 78... Line 78...
           b2_id: out std_logic_vector(15 downto 0)      -- unique/identifier/counter
           b2_id: out std_logic_vector(15 downto 0)      -- unique/identifier/counter
   );
   );
end search_item;
end search_item;
 
 
architecture search_item_implementation of search_item is
architecture search_item_implementation of search_item is
      -- signal state : integer range 0 to 16 := 16;
 
      signal requires_reset: std_logic := '0';
      signal requires_reset: std_logic := '0';
      -- pxdata: out price_packet
      -- pxdata: out price_packet
      signal store_px_type: std_logic_vector(4 downto 0) := (others => 'Z');
      signal store_px_type: std_logic_vector(4 downto 0) := (others => 'Z');
      signal store_buy_sell: std_logic_vector(2 downto 0) := (others => 'Z');   -- 111 buy, 000 sell
      signal store_buy_sell: std_logic_vector(2 downto 0) := (others => 'Z');   -- 111 buy, 000 sell
      signal store_px: std_logic_vector(15 downto 0) := (others => 'Z');     -- price
      signal store_px: std_logic_vector(15 downto 0) := (others => 'Z');     -- price

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.