OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [sim/] [rtl_sim/] [src/] [hitter_sim.vhd] - Diff between revs 10 and 20

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 20
Line 60... Line 60...
        LEDS_POSITIONS_TRI_O: out std_logic_vector(4 downto 0)
        LEDS_POSITIONS_TRI_O: out std_logic_vector(4 downto 0)
);
);
end hitter_sim;
end hitter_sim;
 
 
architecture implementation of hitter_sim is
architecture implementation of hitter_sim is
   component parse_price_sim
   component search_control_sim
      port (
      port (
         RX_CLK: in std_logic;
         RX_CLK: in std_logic;
         restart: in std_logic;
         restart: in std_logic;
         processing: out std_logic;
         processing: out std_logic;
         result_is_ok: out std_logic
         result_one: out std_logic;
 
         result_two: out std_logic;
 
         result_all_ok: out std_logic
      );
      );
   end component;
   end component;
   for parse_price_sim_0: parse_price_sim use entity work.parse_price_sim;
   for search_control_sim_0: search_control_sim use entity work.search_control_sim;
       --signal RX_CLK: std_logic;
       --signal RX_CLK: std_logic;
       signal restart: std_logic;
       signal restart: std_logic;
       signal processing: std_logic;
       signal processing: std_logic;
       signal result_is_ok: std_logic;
       signal result_one: std_logic;
 
       signal result_two: std_logic;
 
       signal result_all_ok: std_logic;
 
   --
 
   --temporarily commented
 
   --component parse_price_sim
 
   --   port (
 
   --      RX_CLK: in std_logic;
 
   --      restart: in std_logic;
 
   --      processing: out std_logic;
 
   --      result_is_ok: out std_logic
 
   --   );
 
   --end component;
 
   --for parse_price_sim_0: parse_price_sim use entity work.parse_price_sim;
 
   --    --signal RX_CLK: std_logic;
 
   --    signal restart: std_logic;
 
   --    signal processing: std_logic;
 
   --    signal result_is_ok: std_logic;
   --
   --
   signal alight: std_logic := '0';
   signal alight: std_logic := '0';
   signal pos: integer := 0;
   signal pos: integer := 0;
begin
begin
   parse_price_sim_0: parse_price_sim port map (
   search_control_sim_0: search_control_sim port map (
       RX_CLK => RX_CLK,
       RX_CLK => RX_CLK,
       restart => restart,
       restart => restart,
       processing => processing,
       processing => processing,
       result_is_ok => result_is_ok
       result_one => result_one,
 
       result_two => result_two,
 
       result_all_ok => result_all_ok
   );
   );
   --
   --
 
   --temporarily commented
 
   --parse_price_sim_0: parse_price_sim port map (
 
   --    RX_CLK => RX_CLK,
 
   --    restart => restart,
 
   --    processing => processing,
 
   --    result_is_ok => result_is_ok
 
   --);
 
   --
   flasher: process (RX_CLK) is
   flasher: process (RX_CLK) is
   begin
   begin
      if rising_edge(RX_CLK) then
      if rising_edge(RX_CLK) then
         if (pos < 4) then         -- ghdl flash
         if (pos < 4) then         -- ghdl flash
    --  if (pos < 62500000) then   -- 125Mhz timing / 0.5s
    --  if (pos < 62500000) then   -- 125Mhz timing / 0.5s
Line 97... Line 126...
            pos <= 0;
            pos <= 0;
         end if;
         end if;
      end if;
      end if;
   end process flasher;
   end process flasher;
 
 
   LEDS_POSITIONS_TRI_O(0) <= alight;
   LEDS_POSITIONS_TRI_O(0) <= processing;       -- C   
   LEDS_POSITIONS_TRI_O(1) <= result_is_ok;
   LEDS_POSITIONS_TRI_O(1) <= alight;           -- W
   LEDS_POSITIONS_TRI_O(2) <= result_is_ok;
   LEDS_POSITIONS_TRI_O(2) <= result_one;       -- S
   LEDS_POSITIONS_TRI_O(3) <= processing;
   LEDS_POSITIONS_TRI_O(3) <= result_two;       -- N
   LEDS_POSITIONS_TRI_O(4) <= alight;
   LEDS_POSITIONS_TRI_O(4) <= result_all_ok;    -- E
   restart <= PUSH_BUTTONS_5BITS_TRI_I(0);
   restart <= PUSH_BUTTONS_5BITS_TRI_I(0);
end implementation;
end implementation;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.