OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [Alu.vhd] - Diff between revs 10 and 16

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 16
Line 29... Line 29...
begin
begin
        --! Behavior description of combinational circuit (Can not infer any FF(Flip flop)) of the Alu
        --! Behavior description of combinational circuit (Can not infer any FF(Flip flop)) of the Alu
        process (A,B,sel) is
        process (A,B,sel) is
        begin
        begin
                case sel is
                case sel is
 
                        when alu_pass =>
 
                                --Pass operation
 
                                S <= A;
 
 
                        when alu_sum =>
                        when alu_sum =>
                                --Sum operation
                                --Sum operation
                                S <= A + B;
                                S <= A + B;
 
 
                        when alu_sub =>
                        when alu_sub =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.