OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [ControlUnit.vhd] - Diff between revs 33 and 34

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 33 Rev 34
Line 114... Line 114...
 
 
                                -- The high attribute points to the highes bit position
                                -- The high attribute points to the highes bit position
                                case opcodeIR is
                                case opcodeIR is
                                        when mov_reg | mov_val | add_reg | sub_reg | and_reg | or_reg | xor_reg | ld_reg | ld_val | stom_reg | stom_val =>
                                        when mov_reg | mov_val | add_reg | sub_reg | and_reg | or_reg | xor_reg | ld_reg | ld_val | stom_reg | stom_val =>
                                                        nextCpuState <= execute;
                                                        nextCpuState <= execute;
                                                        cyclesExecute := 3;     -- Wait 3 cycles for mov operation
                                                        cyclesExecute := 1;     -- Wait 1 cycles
                                                        currInstruction <= IR;
                                                        currInstruction <= IR;
 
 
                                        when jmp_val | jmpr_val =>
                                        when jmp_val | jmpr_val =>
                                                nextCpuState <= execute;
                                                nextCpuState <= execute;
                                                cyclesExecute := 1;
                                                cyclesExecute := 0;              -- No Wait cycle
 
 
                                        -- Invalid instruction (Now will be ignored, but latter should raise a trap
                                        -- Invalid instruction (Now will be ignored, but latter should raise a trap
                                        when others =>
                                        when others =>
                                                null;
                                                null;
                                end case;
                                end case;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.