OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [Multiplexer4_1.vhd] - Diff between revs 19 and 27

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 27
Line 6... Line 6...
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 
 
--! Use CPU Definitions package
--! Use CPU Definitions package
use work.pkgOpenCPU32.all;
use work.pkgOpenCPU32.all;
 
 
--! Mux 2->1 circuit can select one of the 2 inputs into one output with some selection signal
--! Mux 5->1 circuit can select one of the 2 inputs into one output with some selection signal
 
 
--! Detailed description of this 
--! Detailed description of this 
--! mux design element.
--! mux design element.
entity Multiplexer4_1 is
entity Multiplexer4_1 is
    generic (n : integer := nBits - 1);                                 --! Generic value (Used to easily change the size of the Alu on the package)
    generic (n : integer := nBits - 1);                                 --! Generic value (Used to easily change the size of the Alu on the package)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.