OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [opencpu32.xise] - Diff between revs 18 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 19
Line 18... Line 18...
    
    
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
      
      
      
      
    
    
  
  
Line 172... Line 172...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 232... Line 232...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 244... Line 244...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 267... Line 267...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 291... Line 291...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 308... Line 308...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 358... Line 358...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.