OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [pkgOpenCPU32.vhd] - Diff between revs 33 and 38

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 33 Rev 38
Line 2... Line 2...
--! @brief 2:1 CPU global Definitions
--! @brief 2:1 CPU global Definitions
 
 
--! @mainpage
--! @mainpage
--! <H1>Main document of the OpenCPU32 project</H1>\n
--! <H1>Main document of the OpenCPU32 project</H1>\n
--! <H2>Features</H2>
--! <H2>Features</H2>
 
--! 32 Bits \n
 
--! RISC \n\n
 
--! Interesting links \n
 
--! http://www.ohwr.org/projects \n
 
--! http://opencores.org/ \n
 
 
--! Use standard library
--! Use standard library
library ieee;
library ieee;
use ieee.STD_LOGIC_1164.all;
use ieee.STD_LOGIC_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.