OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testCode/] [testCodeBin.dat] - Diff between revs 39 and 40

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 39 Rev 40
Line 1... Line 1...
00000000000000000000000000000000
00000100000000000000000000001010
00000000000000000000000000000001
00000100010000000000000000010100
00000000000000000000000000000010
00000000100001000000000000000000
00000000000000000000000000000011
01101100100000000000000000000000
00000000000000000000000000000100
01110000100000000000000000000010
00000000000000000000000000000101
 
00000000000000000000000000000110
 
00000000000000000000000000000111
 
00000000000000000000000000001000
 
01110000100000000000000000000010
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.