OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testOpenCpu.vhd] - Diff between revs 39 and 40

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 39 Rev 40
Line 79... Line 79...
 
 
 
 
   -- Stimulus process
   -- Stimulus process
   stim_proc: process
   stim_proc: process
        file cmdfile: TEXT;                             -- Define the file 'handle'
        file cmdfile: TEXT;                             -- Define the file 'handle'
        variable line_in,line_out: Line; -- Line buffer
        variable line_in: Line; -- Line buffer
        variable good: boolean;                         -- Flag to detect a good line read
        variable good: boolean;                         -- Flag to detect a good line read
        variable instructionCode : std_logic_vector(n downto 0);
        variable instructionCode : std_logic_vector(n downto 0);
   begin
   begin
      -- Reset operation
      -- Reset operation
                REPORT "RESET" SEVERITY NOTE;
                REPORT "RESET" SEVERITY NOTE;
                -- Open source file
                -- Open source file for reading...
                FILE_OPEN(cmdfile,"testCode/testCodeBin.dat",READ_MODE);
                FILE_OPEN(cmdfile,"testCode/testCodeBin.dat",READ_MODE);
 
 
                -- Check end of file
                -- Check end of file
                if endfile(cmdfile) then
                if endfile(cmdfile) then
                        assert false report "End of file found..." severity failure;
                        assert false report "End of file found..." severity failure;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.