OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testOpenCpu.vhd] - Diff between revs 42 and 44

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 42 Rev 44
Line 139... Line 139...
                readline(cmdfile,line_in);                       -- Read a line from the file
                readline(cmdfile,line_in);                       -- Read a line from the file
                read(line_in,instructionCode,good);     -- Read the CI input
                read(line_in,instructionCode,good);     -- Read the CI input
                assert good report "Could not parse the line" severity ERROR;
                assert good report "Could not parse the line" severity ERROR;
                mem_data_in <= instructionCode;
                mem_data_in <= instructionCode;
 
 
 
                wait until mem_rd = '0';
 
 
 
                readline(cmdfile,line_in);                       -- Read a line from the file
 
                read(line_in,instructionCode,good);     -- Read the CI input
 
                assert good report "Could not parse the line" severity ERROR;
 
                mem_data_in <= instructionCode;
 
 
                wait for CLK_period;
                wait for CLK_period;
 
 
      -- Finish simulation
      -- Finish simulation
                assert false report "NONE. End of simulation." severity failure;
                assert false report "NONE. End of simulation." severity failure;
                wait;
                wait;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.