OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testOpenCpu.vhd] - Diff between revs 44 and 45

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 44 Rev 45
Line 95... Line 95...
                if endfile(cmdfile) then
                if endfile(cmdfile) then
                        assert false report "End of file found..." severity failure;
                        assert false report "End of file found..." severity failure;
                end if;
                end if;
 
 
                rst <= '1';
                rst <= '1';
      wait for 2 ns;
      wait for 15 ns;
                rst <= '0';
                rst <= '0';
                wait for 2 ns;
                wait for 15 ns;
 
 
 
                while not endfile( cmdfile ) loop
                readline(cmdfile,line_in);                       -- Read a line from the file
                readline(cmdfile,line_in);                       -- Read a line from the file
                read(line_in,instructionCode,good);     -- Read the CI input
                read(line_in,instructionCode,good);     -- Read the CI input
                assert good report "Could not parse the line" severity ERROR;
                assert good report "Could not parse the line" severity ERROR;
                mem_data_in <= instructionCode;
                mem_data_in <= instructionCode;
 
 
      wait until mem_rd = '0';
      wait until mem_rd = '0';
 
                end loop;
                readline(cmdfile,line_in);                       -- Read a line from the file
 
                read(line_in,instructionCode,good);     -- Read the CI input
 
                assert good report "Could not parse the line" severity ERROR;
 
                mem_data_in <= instructionCode;
 
 
 
      wait until mem_rd = '0';
 
 
 
                readline(cmdfile,line_in);                       -- Read a line from the file
 
                read(line_in,instructionCode,good);     -- Read the CI input
 
                assert good report "Could not parse the line" severity ERROR;
 
                mem_data_in <= instructionCode;
 
 
 
                wait until mem_rd = '0';
 
 
 
                readline(cmdfile,line_in);                       -- Read a line from the file
 
                read(line_in,instructionCode,good);     -- Read the CI input
 
                assert good report "Could not parse the line" severity ERROR;
 
                mem_data_in <= instructionCode;
 
 
 
                wait until mem_rd = '0';
                wait until mem_rd = '0';
 
 
                readline(cmdfile,line_in);                       -- Read a line from the file
 
                read(line_in,instructionCode,good);     -- Read the CI input
 
                assert good report "Could not parse the line" severity ERROR;
 
                mem_data_in <= instructionCode;
 
 
 
                wait until mem_rd = '0';
 
 
 
                readline(cmdfile,line_in);                       -- Read a line from the file
 
                read(line_in,instructionCode,good);     -- Read the CI input
 
                assert good report "Could not parse the line" severity ERROR;
 
                mem_data_in <= instructionCode;
 
 
 
                wait until mem_rd = '0';
 
 
 
                readline(cmdfile,line_in);                       -- Read a line from the file
 
                read(line_in,instructionCode,good);     -- Read the CI input
 
                assert good report "Could not parse the line" severity ERROR;
 
                mem_data_in <= instructionCode;
 
 
 
                wait for CLK_period;
 
 
 
      -- Finish simulation
      -- Finish simulation
                assert false report "NONE. End of simulation." severity failure;
                assert false report "NONE. End of simulation." severity failure;
                wait;
                wait;
   end process;
   end process;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.