OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [sim/] [rtl_sim/] [bin/] [rtlsim.sh] - Diff between revs 99 and 122

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 99 Rev 122
Line 28... Line 28...
# Author(s):
# Author(s):
#             - Olivier Girard,    olgirard@gmail.com
#             - Olivier Girard,    olgirard@gmail.com
#             - Mihai M.,          mmihai@delajii.net
#             - Mihai M.,          mmihai@delajii.net
#
#
#------------------------------------------------------------------------------
#------------------------------------------------------------------------------
# $Rev: 99 $
# $Rev: 122 $
# $LastChangedBy: olivier.girard $
# $LastChangedBy: olivier.girard $
# $LastChangedDate: 2011-02-28 21:26:17 +0100 (Mon, 28 Feb 2011) $
# $LastChangedDate: 2011-10-05 22:29:45 +0200 (Wed, 05 Oct 2011) $
#------------------------------------------------------------------------------
#------------------------------------------------------------------------------
 
 
###############################################################################
###############################################################################
#                            Parameter Check                                  #
#                            Parameter Check                                  #
###############################################################################
###############################################################################
EXPECTED_ARGS=3
EXPECTED_ARGS=3
if [ $# -ne $EXPECTED_ARGS ]; then
if [ $# -ne $EXPECTED_ARGS ]; then
  echo "ERROR    : wrong number of arguments"
  echo "ERROR    : wrong number of arguments"
  echo "USAGE    : rtlsim.sh <verilog stimulus file> <memory file> <submit file>"
  echo "USAGE    : rtlsim.sh <verilog stimulus file> <memory file> <submit file>"
  echo "Example  : rtlsim.sh ./stimulus.v            pmem.mem      ../src/submit.f"
  echo "Example  : rtlsim.sh ./stimulus.v            pmem.mem      ../src/submit.f"
  echo "MYVLOG env keeps simulator name iverilog/cver/verilog/ncverilog/vsim/vcs"
  echo "OMSP_SIMULATOR env keeps simulator name iverilog/cver/verilog/ncverilog/vsim/vcs"
  exit 1
  exit 1
fi
fi
 
 
 
 
###############################################################################
###############################################################################
Line 68... Line 68...
 
 
###############################################################################
###############################################################################
#                         Start verilog simulation                            #
#                         Start verilog simulation                            #
###############################################################################
###############################################################################
 
 
if [ "${MYVLOG:-iverilog}" = iverilog ]; then
if [ "${OMSP_SIMULATOR:-iverilog}" = iverilog ]; then
 
 
    rm -rf simv
    rm -rf simv
 
 
    NODUMP=${OMSP_NODUMP-0}
    NODUMP=${OMSP_NODUMP-0}
    if [ $NODUMP -eq 1 ]
    if [ $NODUMP -eq 1 ]
Line 90... Line 90...
       vargs="+define+NODUMP"
       vargs="+define+NODUMP"
    else
    else
       vargs=""
       vargs=""
    fi
    fi
 
 
   case $MYVLOG in
   case $OMSP_SIMULATOR in
    cver* )
    cver* )
       vargs="$vargs +define+VXL +define+CVER" ;;
       vargs="$vargs +define+VXL +define+CVER" ;;
    verilog* )
    verilog* )
       vargs="$vargs +define+VXL" ;;
       vargs="$vargs +define+VXL" ;;
    ncverilog* )
    ncverilog* )
       rm -rf INCA_libs
       rm -rf INCA_libs
       vargs="$vargs +access+r +define+TRN_FILE" ;;
       vargs="$vargs +access+r +ncinput+../bin/cov_ncverilog.tcl -covfile ../bin/cov_ncverilog.ccf -coverage all +define+TRN_FILE" ;;
    vcs* )
    vcs* )
       rm -rf csrc simv*
       rm -rf csrc simv*
       vargs="$vargs -R -debug_pp +vcs+lic+wait +v2k +define+VPD_FILE" ;;
       vargs="$vargs -R -debug_pp +vcs+lic+wait +v2k +define+VPD_FILE" ;;
    vsim )
    vsim* )
       # Modelsim
       # Modelsim
       if [ -d work ]; then  vdel -all; fi
       if [ -d work ]; then  vdel -all; fi
       vlib work
       vlib work
       exec vlog +acc=prn -f $3 $vargs -R -c -do "run -all"
       exec vlog +acc=prn -f $3 $vargs -R -c -do "run -all" ;;
 
    isim )
 
       # Xilinx simulator
 
       rm -rf fuse* isim*
 
       fuse tb_openMSP430 -prj $3 -o isim.exe -i ../../../bench/verilog/ -i ../../../rtl/verilog/ -i ../../../rtl/verilog/periph/
 
       echo "run all" > isim.tcl
 
       ./isim.exe -tclbatch isim.tcl
 
       exit
   esac
   esac
 
 
   echo "Running: $MYVLOG -f $3 $vargs"
   echo "Running: $OMSP_SIMULATOR -f $3 $vargs"
   exec $MYVLOG -f $3 $vargs
   exec $OMSP_SIMULATOR -f $3 $vargs
fi
fi
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.