OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [sim/] [rtl_sim/] [src/] [dbg_i2c_mem.s43] - Diff between revs 154 and 200

Show entire file | Details | Blame | View Log

Rev 154 Rev 200
Line 40... Line 40...
 
 
.include "pmem_defs.asm"
.include "pmem_defs.asm"
 
 
.global main
.global main
 
 
 
        /* ----------------------         SOME VARIABLES IN ROM  --------------- */
 
diverse_data:
 
        .word 0x5ab7
 
        .word 0x6bc8
 
 
 
        /* ----------------------              WAIT FUNCTION     --------------- */
WAIT_FUNC:
WAIT_FUNC:
        dec r14
        dec r14
        jnz WAIT_FUNC
        jnz WAIT_FUNC
        ret
        ret
 
 
 
        /* ----------------------                 MAIN           --------------- */
main:
main:
        mov   #0x5a10, &WDTCTL  ; # Disable Watchdog
        mov   #0x5a10, &WDTCTL  ; # Disable Watchdog
 
 
        mov #DMEM_250, r1       ; # Initialize stack pointer
        mov #DMEM_250, r1       ; # Initialize stack pointer
 
 
Line 67... Line 74...
        /* ----------------------         END OF TEST        --------------- */
        /* ----------------------         END OF TEST        --------------- */
end_of_test:
end_of_test:
        nop
        nop
        br #0xffff
        br #0xffff
 
 
        /* ----------------------         SOME VARIABLES IN ROM  --------------- */
 
diverse_data:
 
        .word 0x5ab7
 
        .word 0x6bc8
 
 
 
        /* ----------------------         INTERRUPT VECTORS  --------------- */
        /* ----------------------         INTERRUPT VECTORS  --------------- */
 
 
.section .vectors, "a"
.section .vectors, "a"
.word end_of_test        ; Interrupt  0 (lowest priority)    
.word end_of_test        ; Interrupt  0 (lowest priority)    
.word end_of_test        ; Interrupt  1                      
.word end_of_test        ; Interrupt  1                      

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.