OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [actel_m1a3pl_dev_kit/] [rtl/] [verilog/] [openmsp430/] [omsp_dbg_uart.v] - Diff between revs 105 and 107

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 105 Rev 107
Line 29... Line 29...
//
//
// *Author(s):
// *Author(s):
//              - Olivier Girard,    olgirard@gmail.com
//              - Olivier Girard,    olgirard@gmail.com
//
//
//----------------------------------------------------------------------------
//----------------------------------------------------------------------------
// $Rev: 103 $
// $Rev: 106 $
// $LastChangedBy: olivier.girard $
// $LastChangedBy: olivier.girard $
// $LastChangedDate: 2011-03-05 15:44:48 +0100 (Sat, 05 Mar 2011) $
// $LastChangedDate: 2011-03-25 23:01:03 +0100 (Fri, 25 Mar 2011) $
//----------------------------------------------------------------------------
//----------------------------------------------------------------------------
`ifdef OMSP_NO_INCLUDE
`ifdef OMSP_NO_INCLUDE
`else
`else
`include "openMSP430_defines.v"
`include "openMSP430_defines.v"
`endif
`endif
Line 48... Line 48...
    dbg_rd,                         // Debug register data read
    dbg_rd,                         // Debug register data read
    dbg_uart_txd,                   // Debug interface: UART TXD
    dbg_uart_txd,                   // Debug interface: UART TXD
    dbg_wr,                         // Debug register data write
    dbg_wr,                         // Debug register data write
 
 
// INPUTs
// INPUTs
 
    dbg_clk,                        // Debug unit clock
    dbg_dout,                       // Debug register data output
    dbg_dout,                       // Debug register data output
    dbg_rd_rdy,                     // Debug register data is ready for read
    dbg_rd_rdy,                     // Debug register data is ready for read
 
    dbg_rst,                        // Debug unit reset
    dbg_uart_rxd,                   // Debug interface: UART RXD
    dbg_uart_rxd,                   // Debug interface: UART RXD
    mclk,                           // Main system clock
 
    mem_burst,                      // Burst on going
    mem_burst,                      // Burst on going
    mem_burst_end,                  // End TX/RX burst
    mem_burst_end,                  // End TX/RX burst
    mem_burst_rd,                   // Start TX burst
    mem_burst_rd,                   // Start TX burst
    mem_burst_wr,                   // Start RX burst
    mem_burst_wr,                   // Start RX burst
    mem_bw,                         // Burst byte width
    mem_bw                          // Burst byte width
    por                             // Power on reset
 
);
);
 
 
// OUTPUTs
// OUTPUTs
//=========
//=========
output        [5:0] dbg_addr;       // Debug register address
output        [5:0] dbg_addr;       // Debug register address
Line 70... Line 70...
output              dbg_uart_txd;   // Debug interface: UART TXD
output              dbg_uart_txd;   // Debug interface: UART TXD
output              dbg_wr;         // Debug register data write
output              dbg_wr;         // Debug register data write
 
 
// INPUTs
// INPUTs
//=========
//=========
 
input               dbg_clk;        // Debug unit clock
input        [15:0] dbg_dout;       // Debug register data output
input        [15:0] dbg_dout;       // Debug register data output
input               dbg_rd_rdy;     // Debug register data is ready for read
input               dbg_rd_rdy;     // Debug register data is ready for read
 
input               dbg_rst;        // Debug unit reset
input               dbg_uart_rxd;   // Debug interface: UART RXD
input               dbg_uart_rxd;   // Debug interface: UART RXD
input               mclk;           // Main system clock
 
input               mem_burst;      // Burst on going
input               mem_burst;      // Burst on going
input               mem_burst_end;  // End TX/RX burst
input               mem_burst_end;  // End TX/RX burst
input               mem_burst_rd;   // Start TX burst
input               mem_burst_rd;   // Start TX burst
input               mem_burst_wr;   // Start RX burst
input               mem_burst_wr;   // Start RX burst
input               mem_bw;         // Burst byte width
input               mem_bw;         // Burst byte width
input               por;            // Power on reset
 
 
 
 
 
//=============================================================================
//=============================================================================
// 1)  UART RECEIVE LINE SYNCHRONIZTION & FILTERING
// 1)  UART RECEIVE LINE SYNCHRONIZTION & FILTERING
//=============================================================================
//=============================================================================
 
 
// Synchronize RXD input & buffer
// Synchronize RXD input & buffer
//--------------------------------
//--------------------------------
reg  [3:0] rxd_sync;
reg  [3:0] rxd_sync;
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por) rxd_sync <=  4'hf;
  if (dbg_rst) rxd_sync <=  4'hf;
  else     rxd_sync <=  {rxd_sync[2:0], dbg_uart_rxd};
  else     rxd_sync <=  {rxd_sync[2:0], dbg_uart_rxd};
 
 
// Majority decision
// Majority decision
//------------------------
//------------------------
reg        rxd_maj;
reg        rxd_maj;
Line 102... Line 102...
wire [1:0] rxd_maj_cnt = {1'b0, rxd_sync[1]} +
wire [1:0] rxd_maj_cnt = {1'b0, rxd_sync[1]} +
                         {1'b0, rxd_sync[2]} +
                         {1'b0, rxd_sync[2]} +
                         {1'b0, rxd_sync[3]};
                         {1'b0, rxd_sync[3]};
wire       rxd_maj_nxt = (rxd_maj_cnt>=2'b10);
wire       rxd_maj_nxt = (rxd_maj_cnt>=2'b10);
 
 
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por) rxd_maj <=  1'b0;
  if (dbg_rst) rxd_maj <=  1'b0;
  else     rxd_maj <=  rxd_maj_nxt;
  else     rxd_maj <=  rxd_maj_nxt;
 
 
wire rxd_s  =  rxd_maj;
wire rxd_s  =  rxd_maj;
wire rxd_fe =  rxd_maj & ~rxd_maj_nxt;
wire rxd_fe =  rxd_maj & ~rxd_maj_nxt;
wire rxd_re = ~rxd_maj &  rxd_maj_nxt;
wire rxd_re = ~rxd_maj &  rxd_maj_nxt;
Line 155... Line 155...
                                 RX_CMD;
                                 RX_CMD;
    default  : uart_state_nxt =  RX_CMD;
    default  : uart_state_nxt =  RX_CMD;
  endcase
  endcase
 
 
// State machine
// State machine
always @(posedge mclk or posedge por)
always @(posedge dbg_clk or posedge dbg_rst)
  if (por)                              uart_state <= RX_SYNC;
  if (dbg_rst)                          uart_state <= RX_SYNC;
  else if (xfer_done    | sync_done |
  else if (xfer_done    | sync_done |
           mem_burst_wr | mem_burst_rd) uart_state <= uart_state_nxt;
           mem_burst_wr | mem_burst_rd) uart_state <= uart_state_nxt;
 
 
// Utility signals
// Utility signals
wire cmd_valid = (uart_state==RX_CMD) & xfer_done;
wire cmd_valid = (uart_state==RX_CMD) & xfer_done;
Line 168... Line 168...
 
 
 
 
//=============================================================================
//=============================================================================
// 3)  UART SYNCHRONIZATION
// 3)  UART SYNCHRONIZATION
//=============================================================================
//=============================================================================
// After POR, the host needs to fist send a synchronization character (0x80)
// After DBG_RST, the host needs to fist send a synchronization character (0x80)
// If this feature doesn't work properly, it is possible to disable it by
// If this feature doesn't work properly, it is possible to disable it by
// commenting the DBG_UART_AUTO_SYNC define in the openMSP430.inc file.
// commenting the DBG_UART_AUTO_SYNC define in the openMSP430.inc file.
 
 
reg        sync_busy;
reg        sync_busy;
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por)                                 sync_busy <=  1'b0;
  if (dbg_rst)                             sync_busy <=  1'b0;
  else if ((uart_state==RX_SYNC) & rxd_fe) sync_busy <=  1'b1;
  else if ((uart_state==RX_SYNC) & rxd_fe) sync_busy <=  1'b1;
  else if ((uart_state==RX_SYNC) & rxd_re) sync_busy <=  1'b0;
  else if ((uart_state==RX_SYNC) & rxd_re) sync_busy <=  1'b0;
 
 
assign sync_done =  (uart_state==RX_SYNC) & rxd_re & sync_busy;
assign sync_done =  (uart_state==RX_SYNC) & rxd_re & sync_busy;
 
 
`ifdef DBG_UART_AUTO_SYNC
`ifdef DBG_UART_AUTO_SYNC
 
 
reg [`DBG_UART_XFER_CNT_W+2:0] sync_cnt;
reg [`DBG_UART_XFER_CNT_W+2:0] sync_cnt;
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por)            sync_cnt <=  {{`DBG_UART_XFER_CNT_W{1'b1}}, 3'b000};
  if (dbg_rst)        sync_cnt <=  {{`DBG_UART_XFER_CNT_W{1'b1}}, 3'b000};
  else if (sync_busy) sync_cnt <=  sync_cnt+{{`DBG_UART_XFER_CNT_W+2{1'b0}}, 1'b1};
  else if (sync_busy) sync_cnt <=  sync_cnt+{{`DBG_UART_XFER_CNT_W+2{1'b0}}, 1'b1};
 
 
wire [`DBG_UART_XFER_CNT_W-1:0] bit_cnt_max = sync_cnt[`DBG_UART_XFER_CNT_W+2:3];
wire [`DBG_UART_XFER_CNT_W-1:0] bit_cnt_max = sync_cnt[`DBG_UART_XFER_CNT_W+2:3];
`else
`else
wire [`DBG_UART_XFER_CNT_W-1:0] bit_cnt_max = `DBG_UART_CNT;
wire [`DBG_UART_XFER_CNT_W-1:0] bit_cnt_max = `DBG_UART_CNT;
Line 207... Line 207...
wire       txd_start    = dbg_rd_rdy | (xfer_done & (uart_state==TX_DATA1));
wire       txd_start    = dbg_rd_rdy | (xfer_done & (uart_state==TX_DATA1));
wire       rxd_start    = (xfer_bit==4'h0) & rxd_fe & ((uart_state!=RX_SYNC));
wire       rxd_start    = (xfer_bit==4'h0) & rxd_fe & ((uart_state!=RX_SYNC));
wire       xfer_bit_inc = (xfer_bit!=4'h0) & (xfer_cnt=={`DBG_UART_XFER_CNT_W{1'b0}});
wire       xfer_bit_inc = (xfer_bit!=4'h0) & (xfer_cnt=={`DBG_UART_XFER_CNT_W{1'b0}});
assign     xfer_done    = (xfer_bit==4'hb);
assign     xfer_done    = (xfer_bit==4'hb);
 
 
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por)                           xfer_bit <=  4'h0;
  if (dbg_rst)                       xfer_bit <=  4'h0;
  else if (txd_start | rxd_start)    xfer_bit <=  4'h1;
  else if (txd_start | rxd_start)    xfer_bit <=  4'h1;
  else if (xfer_done)                xfer_bit <=  4'h0;
  else if (xfer_done)                xfer_bit <=  4'h0;
  else if (xfer_bit_inc)             xfer_bit <=  xfer_bit+4'h1;
  else if (xfer_bit_inc)             xfer_bit <=  xfer_bit+4'h1;
 
 
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por)                           xfer_cnt <=  {`DBG_UART_XFER_CNT_W{1'b0}};
  if (dbg_rst)                       xfer_cnt <=  {`DBG_UART_XFER_CNT_W{1'b0}};
  else if (rxd_start)                xfer_cnt <=  {1'b0, bit_cnt_max[`DBG_UART_XFER_CNT_W-1:1]};
  else if (rxd_start)                xfer_cnt <=  {1'b0, bit_cnt_max[`DBG_UART_XFER_CNT_W-1:1]};
  else if (txd_start | xfer_bit_inc) xfer_cnt <=  bit_cnt_max;
  else if (txd_start | xfer_bit_inc) xfer_cnt <=  bit_cnt_max;
  else                               xfer_cnt <=  xfer_cnt+{`DBG_UART_XFER_CNT_W{1'b1}};
  else                               xfer_cnt <=  xfer_cnt+{`DBG_UART_XFER_CNT_W{1'b1}};
 
 
 
 
// Receive/Transmit buffer
// Receive/Transmit buffer
//-------------------------
//-------------------------
wire [19:0] xfer_buf_nxt =  {rxd_s, xfer_buf[19:1]};
wire [19:0] xfer_buf_nxt =  {rxd_s, xfer_buf[19:1]};
 
 
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por)               xfer_buf <=  20'h00000;
  if (dbg_rst)           xfer_buf <=  20'h00000;
  else if (dbg_rd_rdy)   xfer_buf <=  {1'b1, dbg_dout[15:8], 2'b01, dbg_dout[7:0], 1'b0};
  else if (dbg_rd_rdy)   xfer_buf <=  {1'b1, dbg_dout[15:8], 2'b01, dbg_dout[7:0], 1'b0};
  else if (xfer_bit_inc) xfer_buf <=  xfer_buf_nxt;
  else if (xfer_bit_inc) xfer_buf <=  xfer_buf_nxt;
 
 
 
 
// Generate TXD output
// Generate TXD output
//------------------------
//------------------------
reg dbg_uart_txd;
reg dbg_uart_txd;
 
 
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por)                           dbg_uart_txd <=  1'b1;
  if (dbg_rst)                       dbg_uart_txd <=  1'b1;
  else if (xfer_bit_inc & tx_active) dbg_uart_txd <=  xfer_buf[0];
  else if (xfer_bit_inc & tx_active) dbg_uart_txd <=  xfer_buf[0];
 
 
 
 
//=============================================================================
//=============================================================================
// 5) INTERFACE TO DEBUG REGISTERS
// 5) INTERFACE TO DEBUG REGISTERS
//=============================================================================
//=============================================================================
 
 
reg [5:0] dbg_addr;
reg [5:0] dbg_addr;
 always @ (posedge mclk or posedge por)
 always @ (posedge dbg_clk or posedge dbg_rst)
  if (por)            dbg_addr <=  6'h00;
  if (dbg_rst)        dbg_addr <=  6'h00;
  else if (cmd_valid) dbg_addr <=  xfer_buf[`DBG_UART_ADDR];
  else if (cmd_valid) dbg_addr <=  xfer_buf[`DBG_UART_ADDR];
 
 
reg       dbg_bw;
reg       dbg_bw;
always @ (posedge mclk or posedge por)
always @ (posedge dbg_clk or posedge dbg_rst)
  if (por)            dbg_bw   <=  1'b0;
  if (dbg_rst)        dbg_bw   <=  1'b0;
  else if (cmd_valid) dbg_bw   <=  xfer_buf[`DBG_UART_BW];
  else if (cmd_valid) dbg_bw   <=  xfer_buf[`DBG_UART_BW];
 
 
wire        dbg_din_bw =  mem_burst  ? mem_bw : dbg_bw;
wire        dbg_din_bw =  mem_burst  ? mem_bw : dbg_bw;
 
 
wire [15:0] dbg_din    =  dbg_din_bw ? {8'h00,           xfer_buf[18:11]} :
wire [15:0] dbg_din    =  dbg_din_bw ? {8'h00,           xfer_buf[18:11]} :

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.