OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [xilinx_diligent_s3board/] [rtl/] [verilog/] [driver_7segment.v] - Diff between revs 109 and 111

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 109 Rev 111
Line 29... Line 29...
//
//
// *Author(s):
// *Author(s):
//              - Olivier Girard,    olgirard@gmail.com
//              - Olivier Girard,    olgirard@gmail.com
//
//
//----------------------------------------------------------------------------
//----------------------------------------------------------------------------
// $Rev: 109 $
// $Rev: 111 $
// $LastChangedBy: olivier.girard $
// $LastChangedBy: olivier.girard $
// $LastChangedDate: 2011-03-27 13:49:47 +0200 (Sun, 27 Mar 2011) $
// $LastChangedDate: 2011-05-20 22:39:02 +0200 (Fri, 20 May 2011) $
//----------------------------------------------------------------------------
//----------------------------------------------------------------------------
 
 
module  driver_7segment (
module  driver_7segment (
 
 
// OUTPUTs
// OUTPUTs
Line 57... Line 57...
    mclk,                           // Main system clock
    mclk,                           // Main system clock
    per_addr,                       // Peripheral address
    per_addr,                       // Peripheral address
    per_din,                        // Peripheral data input
    per_din,                        // Peripheral data input
    per_en,                         // Peripheral enable (high active)
    per_en,                         // Peripheral enable (high active)
    per_we,                         // Peripheral write enable (high active)
    per_we,                         // Peripheral write enable (high active)
    puc                             // Main system reset
    puc_rst                         // Main system reset
);
);
 
 
// OUTPUTs
// OUTPUTs
//=========
//=========
output      [15:0] per_dout;        // Peripheral data output
output      [15:0] per_dout;        // Peripheral data output
Line 79... Line 79...
output             seg_an3;         // Anode 3 control
output             seg_an3;         // Anode 3 control
 
 
// INPUTs
// INPUTs
//=========
//=========
input              mclk;            // Main system clock
input              mclk;            // Main system clock
input        [7:0] per_addr;        // Peripheral address
input       [13:0] per_addr;        // Peripheral address
input       [15:0] per_din;         // Peripheral data input
input       [15:0] per_din;         // Peripheral data input
input              per_en;          // Peripheral enable (high active)
input              per_en;          // Peripheral enable (high active)
input        [1:0] per_we;          // Peripheral write enable (high active)
input        [1:0] per_we;          // Peripheral write enable (high active)
input              puc;             // Main system reset
input              puc_rst;         // Main system reset
 
 
 
 
//=============================================================================
//=============================================================================
// 1)  PARAMETER DECLARATION
// 1)  PARAMETER DECLARATION
//=============================================================================
//=============================================================================
 
 
// Register addresses
// Register base address (must be aligned to decoder bit width)
parameter          DIGIT0    = 9'h090;
parameter       [14:0] BASE_ADDR   = 15'h0090;
parameter          DIGIT1    = 9'h091;
 
parameter          DIGIT2    = 9'h092;
 
parameter          DIGIT3    = 9'h093;
 
 
 
 
// Decoder bit width (defines how many bits are considered for address decoding)
 
parameter              DEC_WD      =  2;
 
 
 
// Register addresses offset
 
parameter [DEC_WD-1:0] DIGIT0      =  'h0,
 
                       DIGIT1      =  'h1,
 
                       DIGIT2      =  'h2,
 
                       DIGIT3      =  'h3;
 
 
 
 
 
// Register one-hot decoder utilities
 
parameter              DEC_SZ      =  2**DEC_WD;
 
parameter [DEC_SZ-1:0] BASE_REG    =  {{DEC_SZ-1{1'b0}}, 1'b1};
 
 
// Register one-hot decoder
// Register one-hot decoder
parameter          DIGIT0_D  = (256'h1 << (DIGIT0 /2));
parameter [DEC_SZ-1:0] DIGIT0_D  = (BASE_REG << DIGIT0),
parameter          DIGIT1_D  = (256'h1 << (DIGIT1 /2));
                       DIGIT1_D  = (BASE_REG << DIGIT1),
parameter          DIGIT2_D  = (256'h1 << (DIGIT2 /2));
                       DIGIT2_D  = (BASE_REG << DIGIT2),
parameter          DIGIT3_D  = (256'h1 << (DIGIT3 /2));
                       DIGIT3_D  = (BASE_REG << DIGIT3);
 
 
 
 
//============================================================================
//============================================================================
// 2)  REGISTER DECODER
// 2)  REGISTER DECODER
//============================================================================
//============================================================================
 
 
 
// Local register selection
 
wire              reg_sel      =  per_en & (per_addr[13:DEC_WD-1]==BASE_ADDR[14:DEC_WD]);
 
 
 
// Register local address
 
wire [DEC_WD-1:0] reg_addr     =  {1'b0, per_addr[DEC_WD-2:0]};
 
 
// Register address decode
// Register address decode
reg  [255:0]  reg_dec;
wire [DEC_SZ-1:0] reg_dec      = (DIGIT0_D  &  {DEC_SZ{(reg_addr==(DIGIT0 >>1))}}) |
always @(per_addr)
                                 (DIGIT1_D  &  {DEC_SZ{(reg_addr==(DIGIT1 >>1))}}) |
  case (per_addr)
                                 (DIGIT2_D  &  {DEC_SZ{(reg_addr==(DIGIT2 >>1))}}) |
    (DIGIT0 /2):   reg_dec   = DIGIT0_D;
                                 (DIGIT3_D  &  {DEC_SZ{(reg_addr==(DIGIT3 >>1))}});
    (DIGIT1 /2):   reg_dec   = DIGIT1_D;
 
    (DIGIT2 /2):   reg_dec   = DIGIT2_D;
 
    (DIGIT3 /2):   reg_dec   = DIGIT3_D;
 
    default    :   reg_dec   = {256{1'b0}};
 
  endcase
 
 
 
// Read/Write probes
// Read/Write probes
wire         reg_lo_write =  per_we[0] & per_en;
wire              reg_lo_write =  per_we[0] & reg_sel;
wire         reg_hi_write =  per_we[1] & per_en;
wire              reg_hi_write =  per_we[1] & reg_sel;
wire         reg_read     = ~|per_we   & per_en;
wire              reg_read     = ~|per_we   & reg_sel;
 
 
// Read/Write vectors
// Read/Write vectors
wire [255:0] reg_hi_wr    = reg_dec & {256{reg_hi_write}};
wire [DEC_SZ-1:0] reg_hi_wr    = reg_dec & {DEC_SZ{reg_hi_write}};
wire [255:0] reg_lo_wr    = reg_dec & {256{reg_lo_write}};
wire [DEC_SZ-1:0] reg_lo_wr    = reg_dec & {DEC_SZ{reg_lo_write}};
wire [255:0] reg_rd       = reg_dec & {256{reg_read}};
wire [DEC_SZ-1:0] reg_rd       = reg_dec & {DEC_SZ{reg_read}};
 
 
 
 
//============================================================================
//============================================================================
// 3) REGISTERS
// 3) REGISTERS
//============================================================================
//============================================================================
 
 
// DIGIT0 Register
// DIGIT0 Register
//-----------------
//-----------------
reg  [7:0] digit0;
reg  [7:0] digit0;
 
 
wire       digit0_wr  = DIGIT0[0] ? reg_hi_wr[DIGIT0/2] : reg_lo_wr[DIGIT0/2];
wire       digit0_wr  = DIGIT0[0] ? reg_hi_wr[DIGIT0] : reg_lo_wr[DIGIT0];
wire [7:0] digit0_nxt = DIGIT0[0] ? per_din[15:8]       : per_din[7:0];
wire [7:0] digit0_nxt = DIGIT0[0] ? per_din[15:8]       : per_din[7:0];
 
 
always @ (posedge mclk or posedge puc)
always @ (posedge mclk or posedge puc_rst)
  if (puc)            digit0 <=  8'h00;
  if (puc_rst)        digit0 <=  8'h00;
  else if (digit0_wr) digit0 <=  digit0_nxt;
  else if (digit0_wr) digit0 <=  digit0_nxt;
 
 
 
 
// DIGIT1 Register
// DIGIT1 Register
//-----------------
//-----------------
reg  [7:0] digit1;
reg  [7:0] digit1;
 
 
wire       digit1_wr  = DIGIT1[0] ? reg_hi_wr[DIGIT1/2] : reg_lo_wr[DIGIT1/2];
wire       digit1_wr  = DIGIT1[0] ? reg_hi_wr[DIGIT1] : reg_lo_wr[DIGIT1];
wire [7:0] digit1_nxt = DIGIT1[0] ? per_din[15:8]       : per_din[7:0];
wire [7:0] digit1_nxt = DIGIT1[0] ? per_din[15:8]       : per_din[7:0];
 
 
always @ (posedge mclk or posedge puc)
always @ (posedge mclk or posedge puc_rst)
  if (puc)            digit1 <=  8'h00;
  if (puc_rst)        digit1 <=  8'h00;
  else if (digit1_wr) digit1 <=  digit1_nxt;
  else if (digit1_wr) digit1 <=  digit1_nxt;
 
 
 
 
// DIGIT2 Register
// DIGIT2 Register
//-----------------
//-----------------
reg  [7:0] digit2;
reg  [7:0] digit2;
 
 
wire       digit2_wr  = DIGIT2[0] ? reg_hi_wr[DIGIT2/2] : reg_lo_wr[DIGIT2/2];
wire       digit2_wr  = DIGIT2[0] ? reg_hi_wr[DIGIT2] : reg_lo_wr[DIGIT2];
wire [7:0] digit2_nxt = DIGIT2[0] ? per_din[15:8]       : per_din[7:0];
wire [7:0] digit2_nxt = DIGIT2[0] ? per_din[15:8]       : per_din[7:0];
 
 
always @ (posedge mclk or posedge puc)
always @ (posedge mclk or posedge puc_rst)
  if (puc)            digit2 <=  8'h00;
  if (puc_rst)        digit2 <=  8'h00;
  else if (digit2_wr) digit2 <=  digit2_nxt;
  else if (digit2_wr) digit2 <=  digit2_nxt;
 
 
 
 
// DIGIT3 Register
// DIGIT3 Register
//-----------------
//-----------------
reg  [7:0] digit3;
reg  [7:0] digit3;
 
 
wire       digit3_wr  = DIGIT3[0] ? reg_hi_wr[DIGIT3/2] : reg_lo_wr[DIGIT3/2];
wire       digit3_wr  = DIGIT3[0] ? reg_hi_wr[DIGIT3] : reg_lo_wr[DIGIT3];
wire [7:0] digit3_nxt = DIGIT3[0] ? per_din[15:8]       : per_din[7:0];
wire [7:0] digit3_nxt = DIGIT3[0] ? per_din[15:8]       : per_din[7:0];
 
 
always @ (posedge mclk or posedge puc)
always @ (posedge mclk or posedge puc_rst)
  if (puc)            digit3 <=  8'h00;
  if (puc_rst)        digit3 <=  8'h00;
  else if (digit3_wr) digit3 <=  digit3_nxt;
  else if (digit3_wr) digit3 <=  digit3_nxt;
 
 
 
 
//============================================================================
//============================================================================
// 4) DATA OUTPUT GENERATION
// 4) DATA OUTPUT GENERATION
//============================================================================
//============================================================================
 
 
// Data output mux
// Data output mux
wire [15:0] digit0_rd   = (digit0  & {8{reg_rd[DIGIT0/2]}})  << (8 & {4{DIGIT0[0]}});
wire [15:0] digit0_rd   = (digit0  & {8{reg_rd[DIGIT0]}})  << (8 & {4{DIGIT0[0]}});
wire [15:0] digit1_rd   = (digit1  & {8{reg_rd[DIGIT1/2]}})  << (8 & {4{DIGIT1[0]}});
wire [15:0] digit1_rd   = (digit1  & {8{reg_rd[DIGIT1]}})  << (8 & {4{DIGIT1[0]}});
wire [15:0] digit2_rd   = (digit2  & {8{reg_rd[DIGIT2/2]}})  << (8 & {4{DIGIT2[0]}});
wire [15:0] digit2_rd   = (digit2  & {8{reg_rd[DIGIT2]}})  << (8 & {4{DIGIT2[0]}});
wire [15:0] digit3_rd   = (digit3  & {8{reg_rd[DIGIT3/2]}})  << (8 & {4{DIGIT3[0]}});
wire [15:0] digit3_rd   = (digit3  & {8{reg_rd[DIGIT3]}})  << (8 & {4{DIGIT3[0]}});
 
 
wire [15:0] per_dout  =  digit0_rd  |
wire [15:0] per_dout  =  digit0_rd  |
                         digit1_rd  |
                         digit1_rd  |
                         digit2_rd  |
                         digit2_rd  |
                         digit3_rd;
                         digit3_rd;
Line 207... Line 218...
// Anode selection
// Anode selection
//------------------
//------------------
 
 
// Free running counter
// Free running counter
reg [23:0] anode_cnt;
reg [23:0] anode_cnt;
always @ (posedge mclk or posedge puc)
always @ (posedge mclk or posedge puc_rst)
if (puc) anode_cnt <=  24'h00_0000;
if (puc_rst) anode_cnt <=  24'h00_0000;
else     anode_cnt <=  anode_cnt+24'h00_0001;
else     anode_cnt <=  anode_cnt+24'h00_0001;
 
 
// Anode selection
// Anode selection
wire [3:0] seg_an  = (4'h1 << anode_cnt[17:16]);
wire [3:0] seg_an  = (4'h1 << anode_cnt[17:16]);
wire       seg_an0 = ~seg_an[0];
wire       seg_an0 = ~seg_an[0];

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.