OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [xilinx_diligent_s3board/] [software/] [leds/] [7seg.h] - Diff between revs 28 and 143

Show entire file | Details | Blame | View Log

Rev 28 Rev 143
Line 38... Line 38...
/*
/*
*********************************************************************************************************
*********************************************************************************************************
* CONSTANTS
* CONSTANTS
*********************************************************************************************************
*********************************************************************************************************
*/
*/
#include <io.h>
#include "omsp_system.h"
#include <signal.h>
 
#include <iomacros.h>
 
 
 
typedef unsigned char INT8U;
typedef unsigned char INT8U;
typedef unsigned int  INT16U;
typedef unsigned int  INT16U;
 
 
 
 
// Four-Digit, Seven-Segment LED Display driver
// Four-Digit, Seven-Segment LED Display driver
#define DIGIT0_          0x0090
#define DIGIT0        (*(volatile unsigned char *)  0x0090)
sfrb (DIGIT0,DIGIT0_);
#define DIGIT1        (*(volatile unsigned char *)  0x0091)
#define DIGIT1_          0x0091
#define DIGIT2        (*(volatile unsigned char *)  0x0092)
sfrb (DIGIT1,DIGIT1_);
#define DIGIT3        (*(volatile unsigned char *)  0x0093)
#define DIGIT2_          0x0092
 
sfrb (DIGIT2,DIGIT2_);
 
#define DIGIT3_          0x0093
 
sfrb (DIGIT3,DIGIT3_);
 
 
 
#define DIGIT_NR         4 /* Total number of seven-segment digits */
#define DIGIT_NR         4 /* Total number of seven-segment digits */
 
 
/*
/*
*********************************************************************************************************
*********************************************************************************************************

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.