OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [ml501/] [syn/] [xst/] [bin/] [Makefile] - Diff between revs 435 and 439

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 435 Rev 439
Line 196... Line 196...
XST_FILE=$(DESIGN_NAME).xst
XST_FILE=$(DESIGN_NAME).xst
PRJ_FILE=$(DESIGN_NAME).prj
PRJ_FILE=$(DESIGN_NAME).prj
NGC_FILE=$(DESIGN_NAME).ngc
NGC_FILE=$(DESIGN_NAME).ngc
NETLIST_FILE=$(DESIGN_NAME).v
NETLIST_FILE=$(DESIGN_NAME).v
 
 
 
 
XST_PRJ_FILE_SRC_DECLARE=verilog work
XST_PRJ_FILE_SRC_DECLARE=verilog work
 
 
print-config:
print-config:
        $(Q)echo; echo "\t### Synthesis make configuration ###"; echo
        $(Q)echo; echo "\t### Synthesis make configuration ###"; echo
        $(Q)echo "\tFPGA_PART="$(FPGA_PART)
        $(Q)echo "\tFPGA_PART="$(FPGA_PART)
Line 281... Line 282...
$(NGC_FILE): $(PRJ_FILE) $(XST_FILE) $(XCF_FILE) $(GENERATED_DEFINES)
$(NGC_FILE): $(PRJ_FILE) $(XST_FILE) $(XCF_FILE) $(GENERATED_DEFINES)
        $(Q)echo; echo "\t#### Running XST ####"; echo;
        $(Q)echo; echo "\t#### Running XST ####"; echo;
        $(Q)(. $(XILINX_SETTINGS_SCRIPT) ; xst -ifn $(XST_FILE) $(XILINX_FLAGS) $(XST_FLAGS) )
        $(Q)(. $(XILINX_SETTINGS_SCRIPT) ; xst -ifn $(XST_FILE) $(XILINX_FLAGS) $(XST_FLAGS) )
        $(Q)echo
        $(Q)echo
 
 
 
netlist: $(NETLIST_FILE)
 
 
# Netlist generation command
# Netlist generation command
$(NETLIST_FILE): $(NGC_FILE)
$(NETLIST_FILE): $(NGC_FILE)
        $(Q)echo; echo "\t#### Generating verilog netlist ####"; echo;
        $(Q)echo; echo "\t#### Generating verilog netlist ####"; echo;
        $(Q)(. $(XILINX_SETTINGS_SCRIPT) ; \
        $(Q)(. $(XILINX_SETTINGS_SCRIPT) ; \
        netgen -sim -aka -dir . -ofmt verilog $< -w $@ )
        netgen -sim -aka -dir . -ofmt verilog $< -w $@ )

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.