OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [orpsocv2/] [rtl/] [verilog/] [or1200/] [or1200_dmmu_tlb.v] - Diff between revs 482 and 483

Show entire file | Details | Blame | View Log

Rev 482 Rev 483
Line 67... Line 67...
`ifdef OR1200_BIST
`ifdef OR1200_BIST
        // RAM BIST
        // RAM BIST
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
`endif
`endif
 
 
 
`ifdef OR1200_RAM_PARITY
 
        // Parity error indicator
 
        p_err,
 
`endif
 
 
        // SPR access
        // SPR access
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o
);
);
 
 
parameter dw = `OR1200_OPERAND_WIDTH;
parameter dw = `OR1200_OPERAND_WIDTH;
Line 106... Line 111...
input mbist_si_i;
input mbist_si_i;
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
output mbist_so_o;
output mbist_so_o;
`endif
`endif
 
 
 
`ifdef OR1200_RAM_PARITY
 
output [1:0]                     p_err;
 
`endif
 
 
//
//
// SPR access
// SPR access
//
//
input                           spr_cs;
input                           spr_cs;
input                           spr_write;
input                           spr_write;
Line 124... Line 133...
wire                            v;
wire                            v;
wire    [`OR1200_DTLB_INDXW-1:0] tlb_index;
wire    [`OR1200_DTLB_INDXW-1:0] tlb_index;
wire                            tlb_mr_en;
wire                            tlb_mr_en;
wire                            tlb_mr_we;
wire                            tlb_mr_we;
wire    [`OR1200_DTLBMRW-1:0]    tlb_mr_ram_in;
wire    [`OR1200_DTLBMRW-1:0]    tlb_mr_ram_in;
 
`ifdef OR1200_RAM_PARITY
 
wire    [`OR1200_DTLBMRW-1+2:0]  tlb_mr_ram_out;
 
`else
wire    [`OR1200_DTLBMRW-1:0]    tlb_mr_ram_out;
wire    [`OR1200_DTLBMRW-1:0]    tlb_mr_ram_out;
 
`endif
wire                            tlb_tr_en;
wire                            tlb_tr_en;
wire                            tlb_tr_we;
wire                            tlb_tr_we;
wire    [`OR1200_DTLBTRW-1:0]    tlb_tr_ram_in;
wire    [`OR1200_DTLBTRW-1:0]    tlb_tr_ram_in;
wire    [`OR1200_DTLBTRW-1:0]    tlb_tr_ram_out;
wire    [`OR1200_DTLBTRW-1:0]    tlb_tr_ram_out;
`ifdef OR1200_BIST
`ifdef OR1200_BIST
Line 140... Line 153...
wire                            mbist_mr_si = mbist_si_i;
wire                            mbist_mr_si = mbist_si_i;
wire                            mbist_tr_si = mbist_mr_so;
wire                            mbist_tr_si = mbist_mr_so;
assign                          mbist_so_o = mbist_tr_so;
assign                          mbist_so_o = mbist_tr_so;
`endif
`endif
 
 
 
`ifdef OR1200_RAM_PARITY
 
wire [1:0]                       p_err_wire;
 
reg                             p_err_en;
 
`endif
 
 
//
//
// Implemented bits inside match and translate registers
// Implemented bits inside match and translate registers
//
//
// dtlbwYmrX: vpn 31-19  v 0
// dtlbwYmrX: vpn 31-19  v 0
// dtlbwYtrX: ppn 31-13  swe 9  sre 8  uwe 7  ure 6
// dtlbwYtrX: ppn 31-13  swe 9  sre 8  uwe 7  ure 6
Line 185... Line 203...
                        32'h00000000;
                        32'h00000000;
 
 
//
//
// Assign outputs from Match registers
// Assign outputs from Match registers
//
//
assign {vpn, v} = tlb_mr_ram_out;
assign {vpn, v} = tlb_mr_ram_out[`OR1200_DTLBMRW-1:0];
 
 
//
//
// Assign to Match registers inputs
// Assign to Match registers inputs
//
//
assign tlb_mr_ram_in = {spr_dat_i[`OR1200_DTLB_TAG], spr_dat_i[`OR1200_DTLBMR_V_BITS]};
assign tlb_mr_ram_in = {spr_dat_i[`OR1200_DTLB_TAG], spr_dat_i[`OR1200_DTLBMR_V_BITS]};
Line 210... Line 228...
                        spr_dat_i[`OR1200_DTLBTR_CI_BITS]};
                        spr_dat_i[`OR1200_DTLBTR_CI_BITS]};
 
 
//
//
// Generate hit
// Generate hit
//
//
assign hit = (vpn == vaddr[`OR1200_DTLB_TAG]) & v;
assign hit = (vpn == vaddr[`OR1200_DTLB_TAG]) & v
 
             `ifdef OR1200_RAM_PARITY
 
                         & !p_err
 
`endif
 
                           ;
 
 
//
//
// TLB index is normally vaddr[18:13]. If it is SPR access then index is
// TLB index is normally vaddr[18:13]. If it is SPR access then index is
// spr_addr[5:0].
// spr_addr[5:0].
//
//
assign tlb_index = spr_cs ? spr_addr[`OR1200_DTLB_INDXW-1:0] : vaddr[`OR1200_DTLB_INDX];
assign tlb_index = spr_cs ? spr_addr[`OR1200_DTLB_INDXW-1:0] :
 
                   vaddr[`OR1200_DTLB_INDX];
 
 
 
`ifdef OR1200_RAM_PARITY
 
   always @(posedge clk)
 
     if (rst)
 
       p_err_en <= 0;
 
     else
 
       p_err_en <= (tlb_mr_en & !tlb_mr_we) | (tlb_tr_en & !tlb_tr_we);
 
 
 
   assign p_err = (p_err_en & (tlb_mr_en & !tlb_mr_we) |
 
                   (tlb_tr_en & !tlb_tr_we)) ? p_err_wire : 0;
 
`endif
 
 
 
 
//
//
// Instantiation of DTLB Match Registers
// Instantiation of DTLB Match Registers
//
//
//or1200_spram_64x14 dtlb_mr_ram(
//or1200_spram_64x14 dtlb_mr_ram(
   or1200_spram #
   or1200_spram #
     (
     (
      .aw(6),
      .aw(6),
 
 `ifdef OR1200_RAM_PARITY
 
      .dw(16)
 
 `else
      .dw(14)
      .dw(14)
 
 `endif
      )
      )
   dtlb_ram
   dtlb_mr_ram
     (
     (
      .clk(clk),
      .clk(clk),
 
      .rst(rst),
`ifdef OR1200_BIST
`ifdef OR1200_BIST
      // RAM BIST
      // RAM BIST
      .mbist_si_i(mbist_mr_si),
      .mbist_si_i(mbist_mr_si),
      .mbist_so_o(mbist_mr_so),
      .mbist_so_o(mbist_mr_so),
      .mbist_ctrl_i(mbist_ctrl_i),
      .mbist_ctrl_i(mbist_ctrl_i),
`endif
`endif
      .ce(tlb_mr_en),
      .ce(tlb_mr_en),
      .we(tlb_mr_we),
      .we(tlb_mr_we),
      .addr(tlb_index),
      .addr(tlb_index),
      .di(tlb_mr_ram_in),
 
      .doq(tlb_mr_ram_out)
 
`ifdef OR1200_RAM_PARITY
`ifdef OR1200_RAM_PARITY
      , .p_err()
      .p_err(p_err_wire[0]),
 
      .di({2'b00,tlb_mr_ram_in}),
 
`else
 
      .di(tlb_mr_ram_in),
`endif
`endif
 
      .doq(tlb_mr_ram_out)
      );
      );
 
 
   //
   //
   // Instantiation of DTLB Translate Registers
   // Instantiation of DTLB Translate Registers
   //
   //
   //or1200_spram_64x24 dtlb_tr_ram(
 
   or1200_spram #
   or1200_spram #
     (
     (
      .aw(6),
      .aw(6),
      .dw(24)
      .dw(24)
      )
      )
   dtlb_tr_ram
   dtlb_tr_ram
     (
     (
      .clk(clk),
      .clk(clk),
 
      .rst(rst),
`ifdef OR1200_BIST
`ifdef OR1200_BIST
      // RAM BIST
      // RAM BIST
      .mbist_si_i(mbist_tr_si),
      .mbist_si_i(mbist_tr_si),
      .mbist_so_o(mbist_tr_so),
      .mbist_so_o(mbist_tr_so),
      .mbist_ctrl_i(mbist_ctrl_i),
      .mbist_ctrl_i(mbist_ctrl_i),
`endif
`endif
 
`ifdef OR1200_RAM_PARITY
 
      .p_err(p_err_wire[1]),
 
`endif
      .ce(tlb_tr_en),
      .ce(tlb_tr_en),
      .we(tlb_tr_we),
      .we(tlb_tr_we),
      .addr(tlb_index),
      .addr(tlb_index),
      .di(tlb_tr_ram_in),
      .di(tlb_tr_ram_in),
      .doq(tlb_tr_ram_out)
      .doq(tlb_tr_ram_out)
`ifdef OR1200_RAM_PARITY
 
      , .p_err()
 
`endif
 
      );
      );
 
 
endmodule // or1200_dmmu_tlb
endmodule // or1200_dmmu_tlb
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.