OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [orpsocv2/] [rtl/] [verilog/] [or1200/] [or1200_dpram.v] - Diff between revs 462 and 482

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 462 Rev 482
Line 60... Line 60...
module or1200_dpram
module or1200_dpram
  (
  (
   // Generic synchronous double-port RAM interface
   // Generic synchronous double-port RAM interface
   clk_a, ce_a, addr_a, do_a,
   clk_a, ce_a, addr_a, do_a,
   clk_b, ce_b, we_b, addr_b, di_b
   clk_b, ce_b, we_b, addr_b, di_b
 
`ifdef OR1200_RAM_PARITY
 
   , p_err
 
`endif
   );
   );
 
 
   //
   //
   // Default address and data buses width
   // Default address and data buses width
   //
   //
Line 80... Line 83...
   input                        clk_b;  // Clock
   input                        clk_b;  // Clock
   input                        ce_b;   // Chip enable input
   input                        ce_b;   // Chip enable input
   input                        we_b;   // Write enable input
   input                        we_b;   // Write enable input
   input [aw-1:0]                addr_b; // address bus inputs
   input [aw-1:0]                addr_b; // address bus inputs
   input [dw-1:0]                di_b;   // input data bus
   input [dw-1:0]                di_b;   // input data bus
 
`ifdef OR1200_RAM_PARITY
 
   output                       p_err; // parity error indicator
 
`endif
 
 
   //
   //
   // Internal wires and registers
   // Internal wires and registers
   //
   //
 
 
Line 92... Line 98...
   //
   //
 
 
   //
   //
   // Generic RAM's registers and wires
   // Generic RAM's registers and wires
   //
   //
 
`ifdef OR1200_RAM_PARITY
 
   reg [(dw+(dw/8))-1:0]                 mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;    // RAM content
 
`else
   reg [dw-1:0]          mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;    // RAM content
   reg [dw-1:0]          mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;    // RAM content
 
`endif
   reg [aw-1:0]          addr_a_reg;             // RAM address registered
   reg [aw-1:0]          addr_a_reg;             // RAM address registered
 
 
 
`ifdef OR1200_RAM_PARITY
 
   wire [(dw+(dw/8))-1:0]        do_a_wire;
 
   wire [(dw/8)-1:0]             di_p;
 
   wire [(dw/8)-1:0]             do_p;
 
   wire [(dw/8)-1:0]             parity_err;
 
`else
 
   wire [dw-1:0]         do_a_wire;
 
`endif
 
 
   // Function to access GPRs (for use by Verilator). No need to hide this one
   // Function to access GPRs (for use by Verilator). No need to hide this one
   // from the simulator, since it has an input (as required by IEEE 1364-2001).
   // from the simulator, since it has an input (as required by IEEE 1364-2001).
   function [31:0] get_gpr;
   function [31:0] get_gpr;
      // verilator public
      // verilator public
      input [aw-1:0]             gpr_no;
      input [aw-1:0]             gpr_no;
 
      reg [(dw+(dw/8))-1:0]              gpr_temp;
 
      begin
 
`ifdef OR1200_RAM_PARITY
 
         gpr_temp = mem[gpr_no];
 
         get_gpr = gpr_temp[31:0];
 
`else
      get_gpr = mem[gpr_no];
      get_gpr = mem[gpr_no];
 
`endif
 
      end
   endfunction // get_gpr
   endfunction // get_gpr
 
 
   function [31:0] set_gpr;
   task set_gpr;
      // verilator public
      // verilator public
      input [aw-1:0]             gpr_no;
      input [aw-1:0]             gpr_no;
      input [dw-1:0]             value;
      input [dw-1:0]             value;
 
 
      mem[gpr_no] = value;
      mem[gpr_no] =
 
`ifdef OR1200_RAM_PARITY
 
        {(^value[(8*3)+7:(8*3)]),(^value[(8*2)+7:(8*2)]),
 
         (^value[(8*1)+7:(8*1)]),(^value[(8*0)+7:(8*0)]),
 
         value}
 
`else
 
                    value
 
`endif
 
                      ;
 
   endtask // get_gpr
 
 
 
`ifdef OR1200_RAM_PARITY
 
   genvar                       i;
 
   generate
 
      for (i=0;i<(dw/8);i=i+1) begin: paritygen
 
         or1200_parity_gen pgen(.d_i(di_b[(i*8)+7:(i*8)]), .p_o(di_p[i]));
 
         or1200_parity_chk pchk(.d_i(do_a_wire[(i*8)+7:(i*8)]),
 
                                .p_i(do_p[i]), .err_o(parity_err[i]));
 
      end
 
   endgenerate
 
 
 
   // Extract parity bits of data out
 
   assign do_p = do_a_wire[(dw+(dw/8))-1:dw];
 
 
 
   // Indicate error
 
   assign p_err = (|parity_err);
 
 
 
   // Inject a parity error. Can specify GPR number to affect,
 
   // and which parity or data bit to switch.
 
   task gen_parity_err;
 
      input [aw-1:0]             gpr_no;
 
      input [31:0]               parity_bit_no;
 
      input [31:0]               data_bit_no;
 
      reg [(dw+(dw/8))-1:0]      do_temp;
 
      begin
 
         do_temp = mem[gpr_no];
 
         // Switch parity bit
 
         if (parity_bit_no > 0)
 
           do_temp[dw+(parity_bit_no-1)] = ~do_temp[dw+(parity_bit_no-1)];
 
         // Switch data bit
 
         if (data_bit_no > 0 && data_bit_no <= dw)
 
           do_temp[data_bit_no-1] = ~do_temp[data_bit_no-1];
 
         // Write word back
 
         mem[gpr_no] = do_temp;
 
      end
 
   endtask // gen_parity_err
 
 
 
 
   endfunction // get_gpr
`endif
 
 
   //
   //
   // Data output drivers
   // Data output drivers
   //
   //
   //assign do_a = (oe_a) ? mem[addr_a_reg] : {dw{1'b0}};
   assign do_a_wire = mem[addr_a_reg];
   assign do_a = mem[addr_a_reg];
   assign do_a = do_a_wire[dw-1:0];
 
 
 
 
   //
   //
   // RAM read
   // RAM read
   //
   //
   always @(posedge clk_a)
   always @(posedge clk_a)
Line 134... Line 203...
   //
   //
   // RAM write
   // RAM write
   //
   //
   always @(posedge clk_b)
   always @(posedge clk_b)
     if (ce_b & we_b)
     if (ce_b & we_b)
 
`ifdef OR1200_RAM_PARITY
 
       mem[addr_b] <=  {di_p,di_b};
 
`else
       mem[addr_b] <=  di_b;
       mem[addr_b] <=  di_b;
 
`endif
 
 
endmodule // or1200_dpram
endmodule // or1200_dpram
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.