OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [orpsocv2/] [rtl/] [verilog/] [or1200/] [or1200_spram.v] - Diff between revs 360 and 482

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 360 Rev 482
Line 63... Line 63...
   // RAM BIST
   // RAM BIST
   mbist_si_i, mbist_so_o, mbist_ctrl_i,
   mbist_si_i, mbist_so_o, mbist_ctrl_i,
`endif
`endif
   // Generic synchronous single-port RAM interface
   // Generic synchronous single-port RAM interface
   clk, ce, we, addr, di, doq
   clk, ce, we, addr, di, doq
 
`ifdef OR1200_RAM_PARITY
 
   , p_err
 
`endif
   );
   );
 
 
   //
   //
   // Default address and data buses width
   // Default address and data buses width
   //
   //
Line 86... Line 89...
   // Generic synchronous single-port RAM interface
   // Generic synchronous single-port RAM interface
   //
   //
   input                                  clk;  // Clock
   input                                  clk;  // Clock
   input                                  ce;   // Chip enable input
   input                                  ce;   // Chip enable input
   input                                  we;   // Write enable input
   input                                  we;   // Write enable input
   //input                                oe;   // Output enable input
 
   input [aw-1:0]                          addr; // address bus inputs
   input [aw-1:0]                          addr; // address bus inputs
   input [dw-1:0]                          di;   // input data bus
   input [dw-1:0]                          di;   // input data bus
   output [dw-1:0]                         doq;  // output data bus
   output [dw-1:0]                         doq;  // output data bus
 
`ifdef OR1200_RAM_PARITY
 
   output                                 p_err; // parity error indicator
 
`endif
 
 
   //
   //
   // Internal wires and registers
   // Internal wires and registers
   //
   //
 
 
Line 102... Line 107...
   //
   //
 
 
   //
   //
   // Generic RAM's registers and wires
   // Generic RAM's registers and wires
   //
   //
`ifdef OR1200_GENERIC
`ifdef OR1200_RAM_PARITY
   reg [dw-1:0]                    mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
   reg [(dw+(dw/8))-1:0]                   mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
`else
`else
   reg [dw-1:0]                    mem [(1<<aw)-1:0];
   reg [dw-1:0]                    mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
`endif
`endif
 
 
   reg [aw-1:0]                    addr_reg;             // RAM address register
   reg [aw-1:0]                    addr_reg;             // RAM address register
 
 
 
`ifdef OR1200_RAM_PARITY
 
   wire [(dw+(dw/8))-1:0]        doq_wire;
 
   wire [(dw/8)-1:0]             di_p;
 
   wire [(dw/8)-1:0]             do_p;
 
   wire [(dw/8)-1:0]             parity_err;
 
`else
 
   wire [dw-1:0]                 doq_wire;
 
`endif
 
 
 
`ifdef OR1200_RAM_PARITY
 
   genvar                       i;
 
   generate
 
      for (i=0;i<(dw/8);i=i+1) begin: paritygen
 
         or1200_parity_gen pgen(.d_i(di[(i*8)+7:(i*8)]), .p_o(di_p[i]));
 
         or1200_parity_chk pchk(.d_i(doq_wire[(i*8)+7:(i*8)]),
 
                                .p_i(do_p[i]), .err_o(parity_err[i]));
 
      end
 
   endgenerate
 
 
 
   // Extract parity bits of data out
 
   assign do_p = doq_wire[(dw+(dw/8))-1:dw];
 
 
 
   // Indicate error
 
   assign p_err = (|parity_err);
 
 
 
   // Inject a parity error. Can specify GPR number to affect,
 
   // and which parity or data bit to switch.
 
   task gen_parity_err;
 
      input [aw-1:0]             gpr_no;
 
      input [31:0]               parity_bit_no;
 
      input [31:0]               data_bit_no;
 
      reg [(dw+(dw/8))-1:0]      do_temp;
 
      begin
 
         do_temp = mem[gpr_no];
 
         // Switch parity bit
 
         if (parity_bit_no > 0 && parity_bit_no <= (dw/8))
 
           do_temp[dw+(parity_bit_no-1)] = ~do_temp[dw+(parity_bit_no-1)];
 
         // Switch data bit
 
         if (data_bit_no > 0 && data_bit_no <= dw)
 
           do_temp[data_bit_no-1] = ~do_temp[data_bit_no-1];
 
         // Write word back
 
         mem[gpr_no] = do_temp;
 
      end
 
   endtask // gen_parity_err
 
 
 
 
 
`endif
 
 
 
 
   //
   //
   // Data output drivers
   // Data output drivers
   //
   //
   //assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}};
   assign doq_wire = mem[addr_reg];
   assign doq = mem[addr_reg];
   assign doq = doq_wire[dw-1:0];
 
 
   //
   //
   // RAM read address register
   // RAM read address register
   //
   //
   always @(posedge clk)
   always @(posedge clk)
Line 127... Line 182...
   //
   //
   // RAM write
   // RAM write
   //
   //
   always @(posedge clk)
   always @(posedge clk)
     if (we && ce)
     if (we && ce)
 
`ifdef OR1200_RAM_PARITY
 
       mem[addr] <=  {di_p,di};
 
`else
       mem[addr] <=  di;
       mem[addr] <=  di;
 
`endif
 
 
endmodule // or1200_spram
endmodule // or1200_spram
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.