OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [orpsocv2/] [rtl/] [verilog/] [or1200/] [or1200_spram.v] - Diff between revs 482 and 483

Show entire file | Details | Blame | View Log

Rev 482 Rev 483
Line 62... Line 62...
`ifdef OR1200_BIST
`ifdef OR1200_BIST
   // RAM BIST
   // RAM BIST
   mbist_si_i, mbist_so_o, mbist_ctrl_i,
   mbist_si_i, mbist_so_o, mbist_ctrl_i,
`endif
`endif
   // Generic synchronous single-port RAM interface
   // Generic synchronous single-port RAM interface
   clk, ce, we, addr, di, doq
   clk, rst, ce, we, addr, di, doq
`ifdef OR1200_RAM_PARITY
`ifdef OR1200_RAM_PARITY
   , p_err
   , p_err
`endif
`endif
   );
   );
 
 
Line 87... Line 87...
 
 
   //
   //
   // Generic synchronous single-port RAM interface
   // Generic synchronous single-port RAM interface
   //
   //
   input                                  clk;  // Clock
   input                                  clk;  // Clock
 
   input                                  rst; // Reset
   input                                  ce;   // Chip enable input
   input                                  ce;   // Chip enable input
   input                                  we;   // Write enable input
   input                                  we;   // Write enable input
   input [aw-1:0]                          addr; // address bus inputs
   input [aw-1:0]                          addr; // address bus inputs
   input [dw-1:0]                          di;   // input data bus
   input [dw-1:0]                          di;   // input data bus
   output [dw-1:0]                         doq;  // output data bus
   output [dw-1:0]                         doq;  // output data bus
Line 108... Line 109...
 
 
   //
   //
   // Generic RAM's registers and wires
   // Generic RAM's registers and wires
   //
   //
`ifdef OR1200_RAM_PARITY
`ifdef OR1200_RAM_PARITY
   reg [(dw+(dw/8))-1:0]                   mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
   parameter par_w = (dw/8);
 
   reg [(dw+par_w)-1:0] mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
`else
`else
   reg [dw-1:0]                    mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
   reg [dw-1:0]                    mem [(1<<aw)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
`endif
`endif
 
 
   reg [aw-1:0]                    addr_reg;             // RAM address register
   reg [aw-1:0]                    addr_reg;             // RAM address register
 
 
`ifdef OR1200_RAM_PARITY
`ifdef OR1200_RAM_PARITY
   wire [(dw+(dw/8))-1:0]        doq_wire;
   wire [(dw+par_w)-1:0]         doq_wire;
   wire [(dw/8)-1:0]             di_p;
   wire [par_w-1:0]              di_p;
   wire [(dw/8)-1:0]             do_p;
   wire [par_w-1:0]              do_p;
   wire [(dw/8)-1:0]             parity_err;
   wire [par_w-1:0]              parity_err;
 
   reg                          ce_r;
`else
`else
   wire [dw-1:0]                 doq_wire;
   wire [dw-1:0]                 doq_wire;
`endif
`endif
 
 
`ifdef OR1200_RAM_PARITY
`ifdef OR1200_RAM_PARITY
   genvar                       i;
   genvar                       i;
   generate
   generate
      for (i=0;i<(dw/8);i=i+1) begin: paritygen
      for (i=0;i<par_w;i=i+1) begin: paritygen
         or1200_parity_gen pgen(.d_i(di[(i*8)+7:(i*8)]), .p_o(di_p[i]));
         or1200_parity_gen pgen(.d_i(di[(i*8)+7:(i*8)]), .p_o(di_p[i]));
         or1200_parity_chk pchk(.d_i(doq_wire[(i*8)+7:(i*8)]),
         or1200_parity_chk pchk(.d_i(doq_wire[(i*8)+7:(i*8)]),
                                .p_i(do_p[i]), .err_o(parity_err[i]));
                                .p_i(do_p[i]), .err_o(parity_err[i]));
      end
      end
   endgenerate
   endgenerate
 
 
   // Extract parity bits of data out
   // Extract parity bits of data out
   assign do_p = doq_wire[(dw+(dw/8))-1:dw];
   assign do_p = doq_wire[(dw+par_w)-1:dw];
 
 
 
   always @(posedge clk)
 
     if (rst)
 
       ce_r <= 0;
 
     else
 
       ce_r <= ce;
 
 
   // Indicate error
   // Indicate error
   assign p_err = (|parity_err);
   assign p_err = (|parity_err) & ce_r;
 
 
   // Inject a parity error. Can specify GPR number to affect,
   // Inject a parity error.
   // and which parity or data bit to switch.
 
   task gen_parity_err;
   task gen_parity_err;
      input [aw-1:0]             gpr_no;
      input [aw-1:0]             addr;
      input [31:0]               parity_bit_no;
      input [31:0]               parity_bit_no;
      input [31:0]               data_bit_no;
      input [31:0]               data_bit_no;
      reg [(dw+(dw/8))-1:0]      do_temp;
      reg [(dw+par_w)-1:0]       do_temp;
      begin
      begin
         do_temp = mem[gpr_no];
         do_temp = mem[addr];
         // Switch parity bit
         // Switch parity bit
         if (parity_bit_no > 0 && parity_bit_no <= (dw/8))
         if (parity_bit_no >= 0 && parity_bit_no < par_w)
           do_temp[dw+(parity_bit_no-1)] = ~do_temp[dw+(parity_bit_no-1)];
           do_temp[dw+parity_bit_no] = ~do_temp[dw+parity_bit_no];
         // Switch data bit
         // Switch data bit
         if (data_bit_no > 0 && data_bit_no <= dw)
         if (data_bit_no >= 0 && data_bit_no < dw)
           do_temp[data_bit_no-1] = ~do_temp[data_bit_no-1];
           do_temp[data_bit_no] = ~do_temp[data_bit_no];
         // Write word back
         // Write word back
         mem[gpr_no] = do_temp;
         mem[addr] = do_temp;
      end
      end
   endtask // gen_parity_err
   endtask // gen_parity_err
 
 
 
 
`endif
`endif
 
 
 
 
   //
   //
   // Data output drivers
   // Data output drivers
Line 181... Line 187...
 
 
   //
   //
   // RAM write
   // RAM write
   //
   //
   always @(posedge clk)
   always @(posedge clk)
     if (we && ce)
 
`ifdef OR1200_RAM_PARITY
`ifdef OR1200_RAM_PARITY
 
     if (we && ce)
       mem[addr] <=  {di_p,di};
       mem[addr] <=  {di_p,di};
`else
`else
 
     if (we && ce)
       mem[addr] <=  di;
       mem[addr] <=  di;
`endif
`endif
 
 
endmodule // or1200_spram
endmodule // or1200_spram
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.