OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [syn/] [debug/] [stp1.stp] - Diff between revs 24 and 25

Show entire file | Details | Blame | View Log

Rev 24 Rev 25
Line 1... Line 1...
 
 
  
  
    
    
  
  
  
  
    
    
    
    
      
      
Line 1176... Line 1176...
            
            
            
            
          
          
        
        
      
      
      
      
        
        
        
        
          'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
          'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
 
 
 
 
            
            
            
            
            
            
              
              
            
            
          
          
          'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
          'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
 
 
 
 
            
            
            
            
            
            
          
          
        
        
        
        
          10000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000100000000000
          10000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000100000000000
 
 
 
 
            00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
            00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
 
 
 
 
            
            
          
          
          
          
            
            
            
            
Line 1223... Line 1227...
          0000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001000000101101111111010110010111111111111111100000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000011001101100111111110101100101111111111111111000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000110011011001011111110000010000111010111111110000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001100110110000111111100000100001111111111111100000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000010000011100101111111000001000011111111111111000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000100000111001000100010000000000101001100000000000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001000001110000001000100000000000000000000000000000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000011000011100100010001000000000000000000000000000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000110000111001000000010000001000111010100000000000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001100001110000000000100000010001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111111000000100000000000000000000000000001000000000000000110001011111000111111000011010000000000000010001011100100000001000000100010001000000000000000000000000000000000000000000001111100100000000100001000000000000000111001001111110000001000000000000000000000000000010000000000000001100010111110001111110000110100000000000000100010111001000000010000001000111110100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100011110000001101000000000000001000101110010000000100000010001111101000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010001011100100000001100001000011110010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100010111000000000011000010000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001100101110010000000110000100000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000011001011100110000000000000000011000000100000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000110010111000100000000000000010001000001000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001000011110011000000000000000100010000010000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010000111100100000000000001101011100010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100001111000000000000000011000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001100011110010000000000000110000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000011000111100100000001100001100010000010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000110001111000000000011000011000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001000111110010000000110000110000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010001111100110000001100001010011001010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100011111000100000011000010110100010000000000000000000000000000000000000000000011110001000000000000100000000000000001100011011011100000000010011111000100000000000000000000000000000000000000000000011111000001101000000000000001100111110011000000110000101101000100000000000000000000000000000000000000000000111110010000000010001000000000000000011000110110110000000000000000000010010000000000000000000000000000000000000000000111110000011010000000000000011001111100110000001100001010001011010000000000000000000000000000000000000000001111100100000000000010000000000000000110001101101000000000000000000000000000000000000000000000000000000000000000000001111000000110100000000000000110011111001100000011000010100010110100000000000000000000000000000000000000000011111001000000000100000000000000000001011000000001000000000000010000000000000000001000000101000000000000100000000000000101000001101000000000000001100111110011000000110000101000101101000000000000000000000000000000000000000000111110010000000011000000000000000000010110000000010000000000000110000000000000000010000001010000000000001000000000000001010000011010000000000000011001111100110000001010000110001011010000000000000000000000000000000000000000001111100100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000010000000110100000000000000110011111001100000010100001100010110100000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100111110010000000101000011000101001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011001111100000000001010000110000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100000000101000000010100001100000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000000001011000000101000101001101001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000000010010000001010001011000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110000000101100000010100010110000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100000001011000000101000101001001001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011000000010010000001010001011000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100010000101100000010100010110000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000100001010000000101000000001111001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010001000010000000001010000000000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110010000101000000010100000000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100100001011000000000000000001100100100000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011001000010010000000000000001011001001000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100001000101100000000000000010110010010000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000010001010000000000000000101010000000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000100010000000000000000000000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100000010101000000000000000000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000000101010000000100000010001000001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000001010000000001000000100000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110000010101000000010000001000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100000101010010010000000000001000010000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011000001010000100100000000000000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001001111100000000000000000000000000000000000000000000000000000000000000000001111100000110100000000000000100010010101001001000000000000000000000000000000000000000000000000000000000000011111001000000001000010000000000000001110010011111000010000000000000001100010111110000000000000000000000000000000000011111000001101000000000000001000100101010010010000000000001110001000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000111100000011010000000000000010001001010100100100000000000011100010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010010101000000010000010000111010100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000100101000000000100000100001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011000001011100000001000001000010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110000010111000000011010010000111100100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100000101100000000110100100000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010001001011100000001101001000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010010111111111111110111111110010001111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000100101101111111111101111101011111011111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001001011111111111111011111010111110111111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110010010111000000000000011000111000110111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100100101100000000000000110000101011101111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001100011100000000000001100001010111011111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110011000111111111101011000000111110111111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100110001101111111010110000111111111111111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010000010011111111110101100001111111111111111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100000100111000000000001000000001000001000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000001001100000000000010000010010000010000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011000010011100000000000100000100100000100000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110000100111000000000000000010101000000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100001001100000000000000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010001010011100000000000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010100111000000000000100100100000110010000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000101001100000000000001001000100010100100000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001010011100000000000010010001000101001000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110010100111000010000000000000010001000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100101001100000100000000000000000000000000000000000000000000000000000000000000111100010000000000000000000000000000011000100100010000100000100111110001000101111100000000001000100000000001001000000111110000011010000000000000010000110011100001000000000000000000000000000000000000000000000000000000000000001111100100000000100000000000000000000110001001000101110010000000000000010001100000000000000010001000000000010010000001111100000110100000000000000100001100111000010000000000010101000000000000000000000000000000000000000000000011111001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000011110000001101000000000000001000011001110000100000000000101010000000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000100011010000000000000010000110011100000000000000001010100000000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000000110100000000000000100001100110000000000000000000000000000000000000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001000110000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000010001100000101111111000001000011100011111111000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000100011000000011111110000010000011101111111110000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001100110000010111111100000100000111011111111100000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000011001100000100010001000000000010101110000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000110011000000000100010000000000100010000000000000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001000001000010001000100000000001000100000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000010000010000100000001000000100011100011000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000100000100000000000010000001000010000010000000000000000000000000000000000000000011110001000000000000010000000000000001110010011111011100100010100000111000111000000000100000000000000011000101111100011111100111001000000000000001100001000010000000100000010000100000100000000000000000000000000000000000000000111110010000000010000100000000000000011100100111110111001000101000001110001110000000001000000000000000110001011111000111111001110010000000000000011000010000100000001000000100010100111000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111001110010001001111100010001100000000010000000000000001100010111110001111000011100100000000000000110000100001000000010000001000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110011100100010011111000100011000000000100000000000000011000101111100000000010111001000000000000001100001000010010010100000000001010011000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111100111001000100111110001000110000000001000000000000000110001011111000000000001110010000000000000011000010000000100101000000000000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001001111001110010001001111100010001100000000010000000000000001100010111110000000000011100100000000000000100010100001001001010000000000000000000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110011100100010011111000100011000000000100000000000000011000101111100000000010111001000000000000001000101000010110111111101111111000100001101000000000000000000000000000000000000111110010000000000000100000000000000011100100111100111001000100111110001000110000000001000000000000000110001011111000000000001110010000000000000010001010000001101111111011110010011100011010000000000000000000000000000000000001111000100000000000001000000000000000110001001111101110010001001111100010001100000000110100000000000000110001100110001111110011100100000000000000110010100001011011111110111100100111000110100000000000000000000000000000000000011111001000000001000010000000000000001100010011111011100100010011111000100011000000001101000000000000001100011001100011111100111001000000000000001100101000010110111111101111101010100001101000000000000000000000000000000000000111110010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000111100001110010000000000000011001010000101101111111011111010101000011010000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001011100100000000000000110010100001000000000000000010101000000000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000111001000000000000001100101000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000010001110110100000000000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000100011101101011111110000010000111010111111110000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001000111011000111111100000100001111111111111100000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000011001110110101111111000001000011111111111111000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000110011101101000100010000000000101001100000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001100111011000001000100000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000010000001110100010001000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000100000011101000000010000001000111010100000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001000000111000000000100000010001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000111110111001001001100110011001110000000001000000000000000110000101111000111111000010100000000000000011000001110100000001000000100010001000000000000000000000000000000000000000000001111100100000000100001000000000000000111000001111101110010010011001100110011100000000010000000000000001100001011110001111110000101000000000000000110000011101000000010000001010111110100000000000000000000000000000000000000000011111001000000000000010000000000000001110000011110011100100000101111000100011000000000100000000000000011000010111100011110000001010000000000000001100000111010000000100000010101111101000000000000000000000000000000000000000000111110010000000000000100000000000000011100000111100111001000001011110001000110000000001000000000000000110000101111000000000100010100000000000000011000001110100000001100001011011110010000000000000000000000000000000000000000001111100100000000000001000000000000000111000001111001110010000010111100010001100000000010000000000000001100001011110000000000000101000000000000000110000011100000000011000010100000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110000011110011100100000101111000100011000000000100000000000000011000010111100000000000001010000000000000001000100111010000000110000101000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100000111100111001000001011110001000110000000001000000000000000110000101111000000000100010100000000000000010001001110100000000000000000000100000010000000000000000000000000000000000000001111100100000000
          0000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001000000101101111111010110010111111111111111100000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000011001101100111111110101100101111111111111111000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000110011011001011111110000010000111010111111110000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001100110110000111111100000100001111111111111100000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000010000011100101111111000001000011111111111111000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000100000111001000100010000000000101001100000000000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001000001110000001000100000000000000000000000000000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000011000011100100010001000000000000000000000000000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000110000111001000000010000001000111010100000000000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001100001110000000000100000010001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111111000000100000000000000000000000000001000000000000000110001011111000111111000011010000000000000010001011100100000001000000100010001000000000000000000000000000000000000000000001111100100000000100001000000000000000111001001111110000001000000000000000000000000000010000000000000001100010111110001111110000110100000000000000100010111001000000010000001000111110100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100011110000001101000000000000001000101110010000000100000010001111101000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010001011100100000001100001000011110010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100010111000000000011000010000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001100101110010000000110000100000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000011001011100110000000000000000011000000100000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000110010111000100000000000000010001000001000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001000011110011000000000000000100010000010000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010000111100100000000000001101011100010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100001111000000000000000011000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001100011110010000000000000110000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000011000111100100000001100001100010000010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000110001111000000000011000011000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001000111110010000000110000110000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010001111100110000001100001010011001010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100011111000100000011000010110100010000000000000000000000000000000000000000000011110001000000000000100000000000000001100011011011100000000010011111000100000000000000000000000000000000000000000000011111000001101000000000000001100111110011000000110000101101000100000000000000000000000000000000000000000000111110010000000010001000000000000000011000110110110000000000000000000010010000000000000000000000000000000000000000000111110000011010000000000000011001111100110000001100001010001011010000000000000000000000000000000000000000001111100100000000000010000000000000000110001101101000000000000000000000000000000000000000000000000000000000000000000001111000000110100000000000000110011111001100000011000010100010110100000000000000000000000000000000000000000011111001000000000100000000000000000001011000000001000000000000010000000000000000001000000101000000000000100000000000000101000001101000000000000001100111110011000000110000101000101101000000000000000000000000000000000000000000111110010000000011000000000000000000010110000000010000000000000110000000000000000010000001010000000000001000000000000001010000011010000000000000011001111100110000001010000110001011010000000000000000000000000000000000000000001111100100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000010000000110100000000000000110011111001100000010100001100010110100000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100111110010000000101000011000101001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011001111100000000001010000110000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100000000101000000010100001100000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000000001011000000101000101001101001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000000010010000001010001011000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110000000101100000010100010110000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100000001011000000101000101001001001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011000000010010000001010001011000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100010000101100000010100010110000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000100001010000000101000000001111001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010001000010000000001010000000000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110010000101000000010100000000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100100001011000000000000000001100100100000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011001000010010000000000000001011001001000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100001000101100000000000000010110010010000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000010001010000000000000000101010000000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000100010000000000000000000000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100000010101000000000000000000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000000101010000000100000010001000001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000001010000000001000000100000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110000010101000000010000001000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100000101010010010000000000001000010000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011000001010000100100000000000000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001001111100000000000000000000000000000000000000000000000000000000000000000001111100000110100000000000000100010010101001001000000000000000000000000000000000000000000000000000000000000011111001000000001000010000000000000001110010011111000010000000000000001100010111110000000000000000000000000000000000011111000001101000000000000001000100101010010010000000000001110001000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000111100000011010000000000000010001001010100100100000000000011100010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010010101000000010000010000111010100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000100101000000000100000100001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011000001011100000001000001000010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110000010111000000011010010000111100100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100000101100000000110100100000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010001001011100000001101001000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010010111111111111110111111110010001111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000100101101111111111101111101011111011111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001001011111111111111011111010111110111111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110010010111000000000000011000111000110111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100100101100000000000000110000101011101111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001100011100000000000001100001010111011111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110011000111111111101011000000111110111111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100110001101111111010110000111111111111111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010000010011111111110101100001111111111111111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100000100111000000000001000000001000001000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000001001100000000000010000010010000010000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011000010011100000000000100000100100000100000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110000100111000000000000000010101000000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100001001100000000000000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010001010011100000000000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010100111000000000000100100100000110010000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000101001100000000000001001000100010100100000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001010011100000000000010010001000101001000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110010100111000010000000000000010001000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100101001100000100000000000000000000000000000000000000000000000000000000000000111100010000000000000000000000000000011000100100010000100000100111110001000101111100000000001000100000000001001000000111110000011010000000000000010000110011100001000000000000000000000000000000000000000000000000000000000000001111100100000000100000000000000000000110001001000101110010000000000000010001100000000000000010001000000000010010000001111100000110100000000000000100001100111000010000000000010101000000000000000000000000000000000000000000000011111001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000011110000001101000000000000001000011001110000100000000000101010000000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000100011010000000000000010000110011100000000000000001010100000000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000000110100000000000000100001100110000000000000000000000000000000000000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001000110000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000010001100000101111111000001000011100011111111000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000100011000000011111110000010000011101111111110000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001100110000010111111100000100000111011111111100000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000011001100000100010001000000000010101110000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000110011000000000100010000000000100010000000000000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001000001000010001000100000000001000100000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000010000010000100000001000000100011100011000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000100000100000000000010000001000010000010000000000000000000000000000000000000000011110001000000000000010000000000000001110010011111011100100010100000111000111000000000100000000000000011000101111100011111100111001000000000000001100001000010000000100000010000100000100000000000000000000000000000000000000000111110010000000010000100000000000000011100100111110111001000101000001110001110000000001000000000000000110001011111000111111001110010000000000000011000010000100000001000000100010100111000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111001110010001001111100010001100000000010000000000000001100010111110001111000011100100000000000000110000100001000000010000001000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110011100100010011111000100011000000000100000000000000011000101111100000000010111001000000000000001100001000010010010100000000001010011000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111100111001000100111110001000110000000001000000000000000110001011111000000000001110010000000000000011000010000000100101000000000000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001001111001110010001001111100010001100000000010000000000000001100010111110000000000011100100000000000000100010100001001001010000000000000000000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110011100100010011111000100011000000000100000000000000011000101111100000000010111001000000000000001000101000010110111111101111111000100001101000000000000000000000000000000000000111110010000000000000100000000000000011100100111100111001000100111110001000110000000001000000000000000110001011111000000000001110010000000000000010001010000001101111111011110010011100011010000000000000000000000000000000000001111000100000000000001000000000000000110001001111101110010001001111100010001100000000110100000000000000110001100110001111110011100100000000000000110010100001011011111110111100100111000110100000000000000000000000000000000000011111001000000001000010000000000000001100010011111011100100010011111000100011000000001101000000000000001100011001100011111100111001000000000000001100101000010110111111101111101010100001101000000000000000000000000000000000000111110010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000111100001110010000000000000011001010000101101111111011111010101000011010000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001011100100000000000000110010100001000000000000000010101000000000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000111001000000000000001100101000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000010001110110100000000000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000100011101101011111110000010000111010111111110000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001000111011000111111100000100001111111111111100000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000011001110110101111111000001000011111111111111000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000110011101101000100010000000000101001100000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001100111011000001000100000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000010000001110100010001000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000100000011101000000010000001000111010100000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001000000111000000000100000010001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000111110111001001001100110011001110000000001000000000000000110000101111000111111000010100000000000000011000001110100000001000000100010001000000000000000000000000000000000000000000001111100100000000100001000000000000000111000001111101110010010011001100110011100000000010000000000000001100001011110001111110000101000000000000000110000011101000000010000001010111110100000000000000000000000000000000000000000011111001000000000000010000000000000001110000011110011100100000101111000100011000000000100000000000000011000010111100011110000001010000000000000001100000111010000000100000010101111101000000000000000000000000000000000000000000111110010000000000000100000000000000011100000111100111001000001011110001000110000000001000000000000000110000101111000000000100010100000000000000011000001110100000001100001011011110010000000000000000000000000000000000000000001111100100000000000001000000000000000111000001111001110010000010111100010001100000000010000000000000001100001011110000000000000101000000000000000110000011100000000011000010100000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110000011110011100100000101111000100011000000000100000000000000011000010111100000000000001010000000000000001000100111010000000110000101000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100000111100111001000001011110001000110000000001000000000000000110000101111000000000100010100000000000000010001001110100000000000000000000100000010000000000000000000000000000000000000001111100100000000
          11B1BB11B11B1B11B11B11B11B11B11B1B11B11B11B11B11B11B1BB11B1B11B11B11B11B1BB11B11B11B11B1B11B11B11B11B1B11B11B1B1TB11B11B11B1B11B
          11B1BB11B11B1B11B11B11B11B11B11B1B11B11B11B11B11B11B1BB11B1B11B11B11B11B1BB11B11B11B11B1B11B11B11B11B1B11B11B1B1TB11B11B11B1B11B
        
        
      
      
    
    
    
    
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
    
 
    
      
      
      
      
      
      
      
      
        
        
Line 2397... Line 2412...
            
            
            
            
          
          
        
        
      
      
      
      
        
        
        
        
          'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
          'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
            
            
            
            
            
            
              
              
            
            
          
          
          'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[10]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[11]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[12]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[13]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[14]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[15]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[16]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[17]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[18]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[19]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[20]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[21]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[22]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[23]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[24]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[25]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[26]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[27]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[28]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[29]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[30]' == high && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[31]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[8]' == low && 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[9]' == low
          
            
            
            
            
            
            
          
          
        
        
Line 2434... Line 2449...
            
            
            
            
            
            
          
          
        
        
        
 
          0000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001000101110000000000110010110010000001000010000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000011001011100100000001100101100100000010000100000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000110010111001000111011000010110100101100000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001100101110000001110110000101000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000010000111100100011101100001010000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000100001111001100000000001000000001010001000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001000011110001000000000010000111010100010000000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000011000111100110000000000100001110101000100000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000110001111001000000000000000010101000000000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001100011110000000000000000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000010001111100100000000000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000100011111001000000001010011000100000100000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001000111110000000000010100110000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000011001111100100000000101001100000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000110011111001000010000000000100011000000000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001100111110000000100000000001000000000000000000000000000000000000000000000000000111100010000000000000000000000000000001010000000011000000000010000000000100111110100000000000000000000000000000000000111110001000010000000000000010000000010100001000000000010000000000000000000000000000000000000000000000000001111100100000000100000000000000000000010100000000110000000000100000000001001111101000000000000000000000000000000000001111100010000100000000000000100000000101000010000000000100000000000000000000000000000000000000000000000000011111001000000000000000000000000000000101000000000100000000001000000000010011111010000000000000000000000000000000000011110000100001000000000000001000000001010000100000000001000000000000000000000000000000000000000000000000000111110010000000000000000000000000000001010000000001000000000010000000000100111110100000000000000000000000000000000000000000101000010000000000000010000000010111001110010000010001011011100110000000000000000000000000000000000001111100100000000000000000000000000000010100000000010000000000100000000001001111101000000000000000000000000000000000000000000010000100000000000000100000000100110011100100000110111010111001100000000000000000000000000000000000011110001000000000000000000000000000000101000000000100000000001000000000010011111010000000000000000000000000000000000000000000100001000000000000001100000001011100111001000001101110101110011000000000000000000000000000000000000111110010000000000000000000000000000001010000000001000000000010000000000100111110100000000000000000000000000000000000000000101000010000000000000011000000010100110001000000000010101110000000000000000000000000000000000000000001111100100000000000000000000000000000010100000000010000000000100000000001001111101000000000000000000000000000000000000000000010000100000000000000110000000100001100010000000000100010000000000000000000000000000000000000000000011110001000000000000000000000000000000101000000000100000000001000000000010011111010000000000000000000000000000000000000000000100001000000000000001000100001010011000100000000001000100000000000000000000000000000000000000000000111110010000000000000000000000000000001010000000001000000000010000000000100111110100000000000000000000000000000000000000000101000010000000000000010001000010101111111111111111110001000110011000000000000000000000000000000000001111100100000000000000000000000000000010100000000010000000000100000000001001111101000000000000000000000000000000000000000000010000100000000000000100010000100011111111111111101101110001100110000000000000000000000000000000000011110001000000000000010000000000000001100010001111000010000010010111000100010101111000000000010000000000100111110100011111100100001000000000000001100100001010111111111111111011011100011001100000000000000000000000000000000000111110010000000010000100000000000000011000100011110000100000100101110001000101011110000000000100000000001001111101000111111001000010000000000000011001000010101111111111111110010101110110011000000000000000000000000000000000001111100100000000000001000000000000000110001000111000001001111011110100000001010111100000000001000000000010011111010001111000010000100000000000000110010000101011111111111111100101011101100110000000000000000000000000000000000011111001000000000000010000000000000001100010001110000010011110111101000000010101111000000000010000000000100111110100000000010100001000000000000001100100001010000100100000000001010011000000000000000000000000000000000000000000111110010000000000000100000000000000011000100011100000100111101111010000000101011110000000000100000000001001111101000000000001000010000000000000011001000010000001001000000000000000000000000000000000000000000000000000000000001111000100000000000001000000000000000110001000111000001001111011110100000001010111100000000001000000000010011111010000000000000000100000000000000100000111101000010010000000000000000000000000000000000000000000000000000000000011111001000000000000010000000000000001100010001110000010011110111101000000010101111000000000010000000000100111110100000000010000001000000000000001000001111010111111100000100001110001111111100000000000000000000000000000000000111110010000000000000100000000000000011000100011100000100111101111010000000101011110000000000100000000001001111101000000000000000010000000000000010000011110001111111000001000001110111111111000000000000000000000000000000000001111000100000000000001000000000000000111000000111100001001111011110100000001010111110011100000000000000110011001100001111110000000100000000000000110000111101011111110000010000011101111111110000000000000000000000000000000000011111001000000001000010000000000000001110000001111000010011110111101000000010101111100111000000000000001100110011000011111100000001000000000000001100001111010111111100000100001010011111111100000000000000000000000000000000000111110010000000000000100000000000000011100000011100000100101101001101001011101011111001110000000000000011001100110000111100000000010000000000000011000011110101111111000001000010100111111111000000000000000000000000000000000001111100100000000000001000000000000000111000000111000001001011010011010010111010111110011100000000000000110011001100000000001000000100000000000000110000111101000100010000000000101011100000000000000000000000000000000000000000011111001000000000000010000000000000001110000001110000010010110100110100101110101111100111000000000000001100110011000000000000000001000000000000001100001111000001000100000000001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000011100000100101101001101001011101011111001110000000000000011001100110000000000000000010000000000000010001011110100010001000000000010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111000001001011010011010010111010111110011100000000000000110011001100000000001000000100000000000000100010111101000000010000001000111000110000000000000000000000000000000000000000011111001000000000000010000000000000001110000001110000010010110100110100101110101111100111000000000000001100110011000000000000000001000000000000001000101111000000000100000010000100000100000000000000000000000000000000000000000111100010000000000000100000000000000011000000011110000100101101001101001011101011100001000000000000000110001010111000111111000000010000000000000011001011110100000001000000100001000001000000000000000000000000000000000000000001111100100000000100001000000000000000110000000111100001001011010011010010111010111000010000000000000001100010101110001111110000000100000000000000110010111101000000010000001000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000100000000000000011000101011100011110000000001000000000000001100101111010000000100000010001010011100000000000000000000000000000000000000000111110010000000000000100000000000000011000000011100000100000100101110001000101011100001000000000000000110001010111000000000100000010000000000000011001011110100100101000000000010100110000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000010000000000000001100010101110000000000000000100000000000000110010111100001001010000000000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001100000001110000010000010010111000100010101110000100000000000000011000101011100000000000000001000000000000001000011111010010010100000000000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011000000011100000100000100101110001000101011100001000000000000000110001010111000000000100000010000000000000010000111110100011000000001100001100000000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000010000000000000001100010101110000000000000000100000000000000100001111100000110000000011000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110011110111000010000010010111000100010101110100001000000000000001000010001000011111100000001000000000000001100011111010001100000000110000000000000000000000000000000000000000000000000000111110010000000010000100000000000000011100111101110000100000100101110001000101011101000010000000000000010000100010000111111000000010000000000000011000111110100011000000001100001010010000000000000000000000000000000000000000001111100100000000000001000000000000000111001111011000001000000010001010000011010111010000100000000000000100001000100001111000000000100000000000000110001111101000110000000011000010100100000000000000000000000000000000000000000011111001000000000000010000000000000001110011110110000010000000100010100000110101110100001000000000000001000010001000000000010000001000000000000001100011111010001000110000110000101101000110100000000000000000000000000000000000111110010000000000000100000000000000011100111101100000100000001000101000001101011101000010000000000000010000100010000000000000000010000000000000011000111110000010001100001100010001110001101000000000000000000000000000000000001111000100000000000001000000000000000111001111011000001000000010001010000011010111010000100000000000000100001000100000000000000000100000000000000100011111101000100011000011000100011100011010000000000000000000000000000000000011111001000000000000010000000000000001110011110110000010000000100010100000110101110100001000000000000001000010001000000000010000001000000000000001000111111010000000000000001001110001000000000000000000000000000000000000000000111110010000000000000100000000000000011100111101100000100000001000101000001101011101000010000000000000010000100010000000000000000010000000000000010001111110000000000000000010000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001111011000001000000010001010000011010111010000100000000000000100001000100000000000000000100000000000000110011111101000000000000000100000000000000000000000000000000000000000000000000011111001000000000000010000000000000001110011110110000010000000100010100000110101110100001000000000000001000010001000000000010000001000000000000001100111111010000000110000110001000001000000000000000000000000000000000000000000111110010000000000000100000000000000011100111101100000100000001000101000001101011101000010000000000000010000100010000000000000000010000000000000011001111110000000001100001100000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001111011000001000000010001010000011010111010000100000000000000100001000100000000000000000100000000000000100000000011000000011000011000000000000000000000000000000000000000000000000000011111001000000000000010000000000000001110011110110000010000000100010100000110101110100001000000000000001000010001000000000010000001000000000000001000000000110000000010000101001000001000000000000000000000000000000000000000000111110010000000000000100000000000000011100111101100000100000001000101000001101011101000010000000000000010000100010000000000000000010000000000000010000000001000000000100001010000000000000000000000000000000000000000000000000001111000100000000000010000000000000000111000001101100001000000010001010000011010111000000000000000000000000000000000001111100000000100000000000000110000000011000000001000010100000000000000000000000000000000000000000000000000011111001000000001000100000000000000001110000011011001101000000000000000100010110000000000000000000000000000000000000011111000000001000000000000001100000000110000000010000101111000000000000000000000000000000000000000000000000111110010000000000001000000000000000011100000110100011010000100110000110010101100000000000000000000000000000000000000111100000000010000000000000011000000001100000000100001011110000000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111100110100001001100001100101011000000000000000000000000000000000000001111100000000100000000000000110000000011000000001000010111100000000000000000000000000000000000000000000000011111001000000001000010000000000000001110000001111110011100000000000000110011001100000000000000000000000000000000000011111000000001000000000000001100000000110000000111101111111000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000111100000000010000000000000011000000001100000001111011111110000000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001000000100000000000000110000000011011111111111111111100010001111100000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000000001000000000000001100000000100111111111111111011011111011111000000000000000000000000000000000000111100010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000000000000000010000000000000010001000001101111111111111110110111110111110000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001000000100000000000000100010000011000000001000001100111010100000000000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000000001000000000000001000100000100000000010000011001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000000000000111100000000000000011001101110100000000100000110010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001001111000000000000000110011011101011111110000010000111010101110110000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000011110000000000000001100110111000111111100000100001011110011101100000000000000000000000000000000000111100010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000000000000111100000000000000010000011110101111111000001000010111100111011000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001001111000000000000000100000111101000100010000000000101011100000000000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000011110000000000000001000001111000001000100000000001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000000000000111100000000000000011000011110100010001000000000010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001001111000000000000000110000111101000000010000001000111010110001000000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000011110000000000000001100001111000000000100000010001100101100010000000000000000000000000000000000000111100010000000000000100000000000000011100011110111100111101101001101001111110011000001000000000000000111000000111000111111000111100000000000000010001011110100000001000000100011001011000100000000000000000000000000000000000001111100100000000100001000000000000000111000111101111001111011010011010011111100110000010000000000000001110000001110001111110001111000000000000000100010111101000000010000001000101011110001000000000000000000000000000000000000011111001000000000000010000000000000001110001111010110011100100000111000110011001100000100000000000000011100000011100011110000011110000000000000001000101111010000000100000010001010111100010000000000000000000000000000000000000111110010000000000000100000000000000011100011110101100111001000001110001100110011000001000000000000000111000000111000000000100111100000000000000010001011110100100101000000000010100110000000000000000000000000000000000000000001111100100000000000001000000000000000111000111101011001110010000011100011001100110000010000000000000001110000001110000000000001111000000000000000100010111100001001010000000000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110001111010110011100100000111000110011001100000100000000000000011100000011100000000000011110000000000000001100101111010010010100000000000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100011110101100111001000001110001100110011000001000000000000000111000000111000000000100111100000000000000011001011110100010101000000000010100111000000000000000000000000000000000000000001111100100000000000001000000000000000111000111101011001110010000011100011001100110000010000000000000001110000001110000000000001111000000000000000110010111100000101010000000000010000010000000000000000000000000000000000000000011110001000000000000010000000000000001100001111011110011100100000111000110011001100000001000000000000001100100000100011111100011110000000000000001000011111010001010100000000000100000100000000000000000000000000000000000000000111110010000000010000100000000000000011000011110111100111001000001110001100110011000000010000000000000011001000001000111111000111100000000000000010000111110100010101000000000010100111000000000000000000000000000000000000000001111100100000000000001000000000000000110000111101011001110011000000100001011100110000000100000000000000110010000010001111000001111000000000000000100001111101000101010000000000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001100011111011110011100110000001000010111001100000000000000000000001010000000000011111100011110000000000000001000011111010001010100000000001010011100000000000000000000000000000000000000000111110010000000010000100000000000000011000111110111100111001100000010000101110011000000000000000000000010100000000000111111000111100000000000000010000111110100010101000000000010100111000000000000000000000000000000000000000001111100100000000000001000000000000000110001111101011001110000000000000001001100110000000000000000000000101000000000001111000001111000000000000000100001111101000101010000000000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001100011111010110011100000000000000010011001100000000000000000000001010000000000000000010011110000000000000001000011111010000110100000000001010111100000000000000000000000000000000000000000111110010000000000000100000000000000011000111110101100111000000000000000100110011000000000000000000000010100000000000000000000111100000000000000010000111110000001101000000000011001001000000000000000000000000000000000000000001111000100000000000001000000000000000110001111101011001110000000000000001001100110000000000000000000000101000000000000000000001111000000000000000110001111101000011010000000000110010010000000000000000000000000000000000000000011111001000000000000010000000000000001100011111010110011100000000000000010011001100000000000000000000001010000000000000000010011110000000000000001100011111010001110100000000001010011010000000000000000000000000000000000000000111110010000000000000100000000000000011000111110101100111000000000000000100110011000000000000000000000010100000000000000000000111100000000000000011000111110000011101000000000000100000100000000000000000000000000000000000000001111000100000000000001000000000000000111001111101111001110000000000000001001100110000000000000000000000000001000000001111110001111000000000000000100011111101000111010000000000001000001000000000000000000000000000000000000000011111001000000001000010000000000000001110011111011110011100000000000000010011001100000000000000000000000000010000000011111100011110000000000000001000111111010001110100000000001010011010000000000000000000000000000000000000000111110010000000000000100000000000000011100111110101100111000001000000000100110011000000000000000000000000000100000000111100000111100000000000000010001111110100011101000000000010100110100000000000000000000000000000000000000001111100100000000000001000000000000000110000000011111001110000010000000001001100110000000000000000000000000000000000001111110001111000000000000000100011111101000111010000000000101001101000000000000000000000000000000000000000011111001000000001000010000000000000001100000000111110011100000100000000010011001100000000000000000000000000000000000011111100011110000000000000001000111111010001110100000000001010011010000000000000000000000000000000000000000111110010000000000000100000000000000011000000001101100111000000000000000100110011000000000000000000000000000000000000111100000111100000000000000010001111110100011101000000000010100110100000000000000000000000000000000000000001111100100000000000001000000000000000110000000011011001110000000000000001001100110000000000000000000000000000000000000000001001111000000000000000100011111101000000110000000000101011101000000000000000000000000000000000000000011111001000000000000010000000000000001100000000110110011100000000000000010011001100000000000000000000000000000000000000000000011110000000000000001000111111000000001100000000001010100010000000000000000000000000000000000000000111100010000000000000100000000000000011000000001101100111000000000000000100110011000000000000000000000000000000000000000000000111100000000000000011001111110100000011000000000010101000100000000000000000000000000000000000000001111100100000000000001000000000000000110000000011011001110000000000000001001100110000000000000000000000000000000000000000001001111000000000000000110011111101000100110000000000101001111000000000000000000000000000000000000000011111001000000000000010000000000000001100000000110110011100000000000000010011001100000000000000000000000000000000000000000000011110000000000000001100111111000001001100000000000110000110000000000000000000000000000000000000000111100010000000000000100000000000000011100000001111100111000000000000000100110011000000000000000000000010100000000000111111000111100000000000000010000000001100010011000000000001100001100000000000000000000000000000000000000001111100100000000100001000000000000000111000000011111001110000000000000001001100110000000000000000000000101000000000001111110001111000000000000000100000000011000100110000000000101001111000000000000000000000000000000000000000011111001000000000000010000000000000001110000000110110011100000000000000010011001100000000000000000000001010000000000011110000011110000000000000001000000000110001001100000000001010011110000000000000000000000000000000000000000111110010000000000000100000000000000011000100001111100111000000000000000100110011000000000000000000000000000000000000111111000111100000000000000010000000001100010011000000000010100111100000000000000000000000000000000000000001111100100000000100001000000000000000110001000011111001110000000000000001001100110000000000000000000000000000000000001111110001111000000000000000100000000011000100110000000000101001111000000000000000000000000000000000000000011111001000000000000010000000000000001100010000110110011100000000000000010011001100000000000000000000000000000000000011110000011110000000000000001000000000110001001100000000001010011110000000000000000000000000000000000000000111110010000000000000100000000000000011000100001101100111000000000000000100110011000000000000000000000000000000000000000000100111100000000000000010000000001100001011000000000010101111100000000000000000000000000000000000000001111100100000000000001000000000000000110001000011011001110000000000000001001100110000000000000000000000000000000000000000000001111000000000000000100000000010000010110000000000111010011000000000000000000000000000000000000000011110001000000000000010000000000000001100010000110110011100000000000000010011001100000000000000000000000000000000000000000000011110000000000000001100000000110000101100000000001110100110000000000000000000000000000000000000000111110010000000000000100000000000000011000100001101100111000000000000000100110011000000000000000000000000000000000000000000100111100000000000000011000000001100011011000000000010100110010000000000000000000000000000000000000001111100100000000000001000000000000000110001000011011001110000000000000001001100110000000000000000000000000000000000000000000001111000000000000000110000000010000110110000000000000100000100000000000000000000000000000000000000011110001000000000000010000000000000001110010000111110011100000000000000010011001100010100000000000000011000001001000011111100011110000000000000001000100000110001101100000000000001000001000000000000000000000000000000000000000111110010000000010000100000000000000011100100001111100111000000000000000100110011000101000000000000000110000010010000111111000111100000000000000010001000001100011011000000000010100110010000000000000000000000000000000000000001111100100000000
 
          11B11B11B11B11B1TB1B11B11B11B1B11B11B1B11B11B1B11B11B1B11B11B11B11B11B1B11B1BB1BB11B11B1B11B11B11B1B11B11B11B1B11B11B11B1B11B11B
 
        
 
      
      
    
    
    
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
    
 
  
  
  
  
  
  
  
  
    
    
    
    
    
    
  
  
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.