OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_39/] [or1ksim/] [cpu/] [or32/] [execute.c] - Diff between revs 1178 and 1204

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1178 Rev 1204
Line 457... Line 457...
          if (entry)
          if (entry)
            fprintf (runtime.sim.fexe_log, "%s:\n", entry->name);
            fprintf (runtime.sim.fexe_log, "%s:\n", entry->name);
        }
        }
 
 
        if (config.sim.exe_log_type == EXE_LOG_SOFTWARE) {
        if (config.sim.exe_log_type == EXE_LOG_SOFTWARE) {
          int i;
          int i,j=0;
 
 
          for (i = 0; i < num_op; i++)
          for (i = 0; i < num_op; i++)
            if (op[i + MAX_OPERANDS] & OPTYPE_DIS) {
            if (op[i + MAX_OPERANDS] & OPTYPE_DIS) {
              fprintf (runtime.sim.fexe_log, "EA =%08x ", op[i]);
              j=1;
 
              fprintf (runtime.sim.fexe_log, "EA =%08x PA =%08x ", op[i], dmmu_translate(op[i],0));
            } else if ((op[i + MAX_OPERANDS] & OPTYPE_REG) && op[i]) {
            } else if ((op[i + MAX_OPERANDS] & OPTYPE_REG) && op[i]) {
              fprintf (runtime.sim.fexe_log, "r%-2i=%08x ", op[i], evalsim_reg32 (op[i]));
              fprintf (runtime.sim.fexe_log, "r%-2i=%08x ", op[i], evalsim_reg32 (op[i]));
            } else
            } else
            fprintf (runtime.sim.fexe_log, "             ");
            fprintf (runtime.sim.fexe_log, "             ");
 
 
 
          i+=j;
          for (; i < 3; i++)
          for (; i < 3; i++)
            fprintf (runtime.sim.fexe_log, "             ");
            fprintf (runtime.sim.fexe_log, "             ");
        }
        }
        fprintf (runtime.sim.fexe_log, "%.8lx ", i);
        fprintf (runtime.sim.fexe_log, "%.8lx ", i);
        fprintf (runtime.sim.fexe_log, "%s\n", disassembled);
        fprintf (runtime.sim.fexe_log, "%s\n", disassembled);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.