OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_39/] [or1ksim/] [peripheral/] [eth.c] - Diff between revs 696 and 702

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 696 Rev 702
Line 21... Line 21...
 
 
#include <stdlib.h>
#include <stdlib.h>
#include <stdio.h>
#include <stdio.h>
#include <string.h>
#include <string.h>
#include <sys/types.h>
#include <sys/types.h>
#include <sys/socket.h>  
 
#include <sys/stat.h>   
#include <sys/stat.h>   
#include <fcntl.h>      
#include <fcntl.h>      
#include <sys/poll.h>   
#include <sys/poll.h>   
#include <sys/time.h>   
#include <sys/time.h>   
#include <unistd.h>     
#include <unistd.h>     
Line 36... Line 35...
#include "dma.h"
#include "dma.h"
#include "sim-config.h"
#include "sim-config.h"
#include "fields.h"
#include "fields.h"
#include "crc32.h"
#include "crc32.h"
 
 
 
 
static struct eth_device eths[MAX_ETHERNETS];
static struct eth_device eths[MAX_ETHERNETS];
 
 
 
/* simulator interface */
 
static void eth_reset_controller( struct eth_device *eth);
/* register interface */
/* register interface */
static void eth_write32( unsigned long addr, unsigned long value );
static void eth_write32( unsigned long addr, unsigned long value );
static unsigned long eth_read32( unsigned long addr );
static unsigned long eth_read32( unsigned long addr );
/* clock */
/* clock */
static void eth_controller_tx_clock( struct eth_device * );
static void eth_controller_tx_clock( struct eth_device * );
static void eth_controller_rx_clock( struct eth_device * );
static void eth_controller_rx_clock( struct eth_device * );
/* utility functions */
/* utility functions */
static int eth_find_controller( unsigned long addr, struct eth_device **eth, unsigned long *reladdr );
static int eth_find_controller( unsigned long addr, struct eth_device **eth, unsigned long *reladdr );
 
static ssize_t eth_read_rx_file( struct eth_device *, void *, size_t );
 
static void eth_skip_rx_file( struct eth_device *, off_t );
 
static void eth_rewind_rx_file( struct eth_device *, off_t );
 
static void eth_rx_next_packet( struct eth_device * );
 
static void eth_write_tx_bd_num( struct eth_device *, unsigned long value );
/* ========================================================================= */
/* ========================================================================= */
/*  TX LOGIC                                                                 */
/*  TX LOGIC                                                                 */
/*---------------------------------------------------------------------------*/
/*---------------------------------------------------------------------------*/
 
 
/*
/*
 * TX clock
 * TX clock
 * Responsible for starting and finishing TX
 * Responsible for starting and finishing TX
 */
 */
void eth_controller_tx_clock( struct eth_device *eth )
void eth_controller_tx_clock( struct eth_device *eth )
{
{
    unsigned long breakpoint = 0;
    int breakpoint = 0;
 
    int bAdvance   = 1;
 
    struct sockaddr_ll sll;
 
 
 
    long nwritten;
 
    unsigned long read_word;
 
 
    switch (eth->tx.state) {
    switch (eth->tx.state) {
    case ETH_TXSTATE_IDLE:
    case ETH_TXSTATE_IDLE:
        if ( TEST_FLAG( eth->regs.moder, ETH_MODER, TXEN ) || (eth->txfd <= 0) ) {
        if ( TEST_FLAG( eth->regs.moder, ETH_MODER, TXEN ) ) {
 
 
            /* wait for TxBuffer to be ready */
            /* wait for TxBuffer to be ready */
 
                debug (3, "TX - entering state WAIT4BD\n");
            eth->tx.state = ETH_TXSTATE_WAIT4BD;
            eth->tx.state = ETH_TXSTATE_WAIT4BD;
        }
        }
        break;
        break;
    case ETH_TXSTATE_WAIT4BD:
    case ETH_TXSTATE_WAIT4BD:
        /* Read buffer descriptor */
        /* Read buffer descriptor */
Line 107... Line 117...
                 (TEST_FLAG( eth->tx.bd, ETH_TX_BD, CRC) &&
                 (TEST_FLAG( eth->tx.bd, ETH_TX_BD, CRC) &&
                  TEST_FLAG( eth->tx.bd, ETH_TX_BD, LAST)) )
                  TEST_FLAG( eth->tx.bd, ETH_TX_BD, LAST)) )
                eth->tx.add_crc = 1;
                eth->tx.add_crc = 1;
            else
            else
                eth->tx.add_crc = 0;
                eth->tx.add_crc = 0;
            eth_initialize_tx_crc( eth );
 
 
            if ( TEST_FLAG( eth->regs.moder, ETH_MODER, DLYCRCEN ) )
 
                eth->tx.crc_dly = 1;
 
            else
 
                eth->tx.crc_dly = 0;
 
            /* XXX - For now we skip CRC calculation */
 
 
            debug( 3, "Ethernet: Starting TX of %u bytes (min. %u, max. %u)\n", eth->tx.packet_length,
            debug( 3, "Ethernet: Starting TX of %u bytes (min. %u, max. %u)\n", eth->tx.packet_length,
                   eth->tx.minimum_length, eth->tx.maximum_length );
                   eth->tx.minimum_length, eth->tx.maximum_length );
 
 
            /* Write "header" to file */
            if (eth->rtx_type == ETH_RTX_FILE) {
            length_with_crc = eth->tx.add_crc ? (eth->tx.packet_length + 4) : eth->tx.packet_length;
                /* write packet length to file */
            write( eth->txfd, &length_with_crc, sizeof(length_with_crc) );
                nwritten = write( eth->txfd, &(eth->tx.packet_length), sizeof(eth->tx.packet_length) );
 
            }
 
 
            /************************************************/
            /************************************************/
            /* start transmit with reading packet into FIFO */
            /* start transmit with reading packet into FIFO */
 
                debug (3, "TX - entering state READFIFO\n");
            eth->tx.state = ETH_TXSTATE_READFIFO;
            eth->tx.state = ETH_TXSTATE_READFIFO;
        }
        }
        else if ( !TEST_FLAG( eth->regs.moder, ETH_MODER, TXEN ) ) {
        else if ( !TEST_FLAG( eth->regs.moder, ETH_MODER, TXEN ) ) {
            /* stop TX logic */
            /* stop TX logic */
 
                debug (3, "TX - entering state IDLE\n");
            eth->tx.state = ETH_TXSTATE_IDLE;
            eth->tx.state = ETH_TXSTATE_IDLE;
        }
        }
 
 
        /* stay in this state if (TXEN && !READY) */
        /* stay in this state if (TXEN && !READY) */
        break;
        break;
    case ETH_TXSTATE_READFIFO:
    case ETH_TXSTATE_READFIFO:
        if ( eth->tx.bytes_sent < eth->tx.packet_length ) {
        if ( eth->tx.bytes_sent < eth->tx.packet_length ) {
            eth->tx_buff[eth->tx.bytes_sent\4] = eval_mem32(eth->tx.bytes_sent + eth->tx.bd_addr, &breakpoint)
            read_word = eval_mem32(eth->tx.bytes_sent + eth->tx.bd_addr, &breakpoint);
 
            eth->tx_buff[eth->tx.bytes_sent]   = (unsigned char)(read_word >> 24);
 
            eth->tx_buff[eth->tx.bytes_sent+1] = (unsigned char)(read_word >> 16);
 
            eth->tx_buff[eth->tx.bytes_sent+2] = (unsigned char)(read_word >> 8);
 
            eth->tx_buff[eth->tx.bytes_sent+3] = (unsigned char)(read_word);
            eth->tx.bytes_sent += 4;
            eth->tx.bytes_sent += 4;
        }
        }
        else {
        else {
 
            debug (3, "TX - entering state TRANSMIT\n");
            eth->tx.state = ETH_TXSTATE_TRANSMIT;
            eth->tx.state = ETH_TXSTATE_TRANSMIT;
        }
        }
        break;
        break;
    case ETH_TXSTATE_TRANSMIT:
    case ETH_TXSTATE_TRANSMIT:
        write( eth->txfd, eth->tx_buff, eth->tx.packet_length );
        /* send packet */
 
        switch (eth->rtx_type) {
 
        case ETH_RTX_FILE:
 
            nwritten = write( eth->txfd, eth->tx_buff, eth->tx.packet_length );
 
            break;
 
        case ETH_RTX_SOCK:
 
            memset(&sll, 0, sizeof(sll));
 
                sll.sll_ifindex = eth->ifr.ifr_ifindex;
 
                nwritten = sendto(eth->rtx_sock, eth->tx_buff, eth->tx.packet_length, 0, (struct sockaddr *)&sll, sizeof(sll));
 
                break;
 
        }
 
 
 
        /* set BD status */
 
        if (nwritten == eth->tx.packet_length) {
 
            CLEAR_FLAG (eth->tx.bd, ETH_TX_BD, READY);
 
            SET_FLAG (eth->regs.int_source, ETH_INT_SOURCE, TXB);
 
 
 
                debug (3, "TX - entering state IDLE\n");
 
            eth->tx.state = ETH_TXSTATE_IDLE;
 
            debug (3, "send (%d)bytes OK\n", nwritten);
 
        }
 
        else {
 
            /* XXX - implement retry mechanism here! */
 
            CLEAR_FLAG (eth->tx.bd, ETH_TX_BD, READY);
 
            CLEAR_FLAG (eth->tx.bd, ETH_TX_BD, COLLISION);
 
            SET_FLAG (eth->regs.int_source, ETH_INT_SOURCE, TXE);
 
 
 
                debug (3, "TX - entering state IDLE\n");
        eth->tx.state = ETH_TXSTATE_IDLE;
        eth->tx.state = ETH_TXSTATE_IDLE;
 
            debug (3, "send FAILED!\n");
 
        }
 
 
 
        eth->regs.bd_ram[eth->tx.bd_index] = eth->tx.bd;
 
 
 
        /* advance to next BD */
 
        if (bAdvance) {
 
            if ( TEST_FLAG( eth->tx.bd, ETH_TX_BD, WRAP ) ||
 
                            eth->tx.bd_index >= ETH_BD_COUNT )
 
                eth->tx.bd_index = 0;
 
            else
 
                eth->tx.bd_index += 2;
 
        }
 
 
 
        /* generate OK interrupt */
 
        if ( TEST_FLAG(eth->regs.int_mask, ETH_INT_MASK, TXE_M) ||
 
             TEST_FLAG(eth->regs.int_mask, ETH_INT_MASK, TXB_M) )
 
        {
 
            report_interrupt( eth->mac_int );
 
        }
 
 
        break;
        break;
    }
    }
}
}
/* ========================================================================= */
/* ========================================================================= */
 
 
Line 155... Line 226...
 * RX clock
 * RX clock
 * Responsible for starting and finishing RX
 * Responsible for starting and finishing RX
 */
 */
void eth_controller_rx_clock( struct eth_device *eth )
void eth_controller_rx_clock( struct eth_device *eth )
{
{
 
    int i;
 
    int breakpoint = 0;
 
    long nread;
 
    unsigned long send_word;
 
 
 
    fd_set rfds;
 
 
    switch (eth->rx.state) {
    switch (eth->rx.state) {
    case ETH_RXSTATE_IDLE:
    case ETH_RXSTATE_IDLE:
 
        if ( TEST_FLAG( eth->regs.moder, ETH_MODER, RXEN) ) {
 
                debug (3, "RX - entering state WAIT4BD\n");
 
            eth->rx.state = ETH_RXSTATE_WAIT4BD;
 
        }
        break;
        break;
 
 
    case ETH_RXSTATE_WAIT4BD:
    case ETH_RXSTATE_WAIT4BD:
 
        eth->rx.bd = eth->regs.bd_ram[eth->rx.bd_index];
 
        eth->rx.bd_addr = eth->regs.bd_ram[eth->rx.bd_index + 1];
 
 
 
        if ( TEST_FLAG( eth->rx.bd, ETH_RX_BD, READY ) ) {
 
            /*****************/
 
            /* Initialize RX */
 
            CLEAR_FLAG( eth->rx.bd, ETH_RX_BD, MISS );
 
            CLEAR_FLAG( eth->rx.bd, ETH_RX_BD, INVALID );
 
            CLEAR_FLAG( eth->rx.bd, ETH_RX_BD, DRIBBLE );
 
            CLEAR_FLAG( eth->rx.bd, ETH_RX_BD, UVERRUN );
 
            CLEAR_FLAG( eth->rx.bd, ETH_RX_BD, COLLISION );
 
            CLEAR_FLAG( eth->rx.bd, ETH_RX_BD, TOOBIG );
 
            CLEAR_FLAG( eth->rx.bd, ETH_RX_BD, TOOSHORT );
 
 
 
            debug( 3,  "Ethernet: Starting RX\n" );
 
 
 
            /* Setup file to read from */
 
            if ( TEST_FLAG( eth->regs.moder, ETH_MODER, LOOPBCK ) ) {
 
                eth->rx.fd = eth->txfd;
 
                eth->rx.offset = &(eth->loopback_offset);
 
            } else {
 
                eth->rx.fd = eth->rxfd;
 
                eth->rx.offset = 0;
 
            }
 
                debug (3, "RX - entering state RECV\n");
 
            eth->rx.state = ETH_RXSTATE_RECV;
 
        }
 
        else {
 
            nread = recv(eth->rtx_sock, eth->rx_buff, ETH_MAXPL, MSG_PEEK);
 
            if (nread > 0) {
 
                SET_FLAG (eth->regs.int_source, ETH_INT_SOURCE, BUSY);
 
            }
 
        }
        break;
        break;
 
 
    case ETH_RXSTATE_RECV:
    case ETH_RXSTATE_RECV:
 
        switch (eth->rtx_type) {
 
        case ETH_RTX_FILE:
 
            /* Read packet length */
 
            if ( eth_read_rx_file( eth, &(eth->rx.packet_length), sizeof(eth->rx.packet_length) )
 
                     < sizeof(eth->rx.packet_length) ) {
 
                /* TODO: just do what real ethernet would do (some kind of error state) */
 
                debug (4, "eth_start_rx(): File does not have a packet ready for RX\n" );
 
                cont_run = 0;
        break;
        break;
 
            }
 
 
 
            /* Packet must be big enough to hold a header */
 
            if ( eth->rx.packet_length < ETH_HLEN ){
 
                debug( 3,  "eth_start_rx(): Packet too small\n" );
 
                eth_rx_next_packet( eth );
 
 
 
                        debug (3, "RX - entering state IDLE\n");
 
                eth->rx.state = ETH_RXSTATE_IDLE;
 
                break;
 
            }
 
 
 
            eth->rx.bytes_read = 0;
 
            eth->rx.bytes_left = eth->rx.packet_length;
 
 
 
            /* for now Read entire packet into memory */
 
            nread = eth_read_rx_file( eth, eth->rx_buff, eth->rx.bytes_left );
 
            if ( nread < eth->rx.bytes_left )
 
                debug (3, "Read %d from %d. Error!\n", nread, eth->rx.bytes_left);
 
                eth->rx.error = 1;
 
            break;
 
 
 
        case ETH_RTX_SOCK:
 
            nread = recv(eth->rtx_sock, eth->rx_buff, ETH_MAXPL, MSG_DONTWAIT);
 
            if (nread < 0) {
 
                        if ( errno != EAGAIN ) {
 
                            debug (3, "recv() FAILED!\n");
 
                            break;
 
                        }
 
                        else {
 
                        break;
 
                    }
 
                }
 
            eth->rx.bytes_left = nread;
 
            eth->rx.bytes_read = 0;
 
 
 
            debug (3, "RX - entering state WRITEFIFO\n");
 
            eth->rx.state = ETH_RXSTATE_WRITEFIFO;
 
 
 
            break;
 
        }
 
        break;
 
 
    case ETH_RXSTATE_WRITEFIFO:
    case ETH_RXSTATE_WRITEFIFO:
 
        send_word = ((unsigned long)eth->rx_buff[eth->rx.bytes_read]   << 24) |
 
                    ((unsigned long)eth->rx_buff[eth->rx.bytes_read+1] << 16) |
 
                    ((unsigned long)eth->rx_buff[eth->rx.bytes_read+2] << 8)  |
 
                    ((unsigned long)eth->rx_buff[eth->rx.bytes_read+3] );
 
        set_mem32( eth->rx.bd_addr + eth->rx.bytes_read, send_word, &breakpoint);
 
 
 
        /* update counters */
 
        debug (3, "Write %d, left %d - %08lXd\n", eth->rx.bytes_read, eth->rx.bytes_left, send_word);
 
        eth->rx.bytes_left -= 4;
 
        eth->rx.bytes_read += 4;
 
        if ( eth->rx.bytes_left <= 0 ) {
 
            /* Write result to bd */
 
            SET_FIELD( eth->rx.bd, ETH_RX_BD, LENGTH, eth->rx.packet_length );
 
            CLEAR_FLAG( eth->rx.bd, ETH_RX_BD, READY);
 
            SET_FLAG( eth->regs.int_source, ETH_INT_SOURCE, RXF);
 
 
 
            if ( eth->rx.packet_length < GET_FIELD( eth->regs.packetlen, ETH_PACKETLEN, MINFL ) )
 
                SET_FLAG( eth->rx.bd, ETH_RX_BD, TOOBIG);
 
            if ( eth->rx.packet_length > GET_FIELD( eth->regs.packetlen, ETH_PACKETLEN, MAXFL ) )
 
                SET_FLAG( eth->rx.bd, ETH_RX_BD, TOOSHORT);
 
 
 
            eth->regs.bd_ram[eth->rx.bd_index] = eth->rx.bd;
 
 
 
            /* advance to next BD */
 
            if ( TEST_FLAG( eth->rx.bd, ETH_RX_BD, WRAP ) || eth->rx.bd_index >= ETH_BD_COUNT )
 
                eth->tx.bd_index = eth->regs.tx_bd_num;
 
            else
 
                eth->tx.bd_index += 2;
 
 
 
            if ( TEST_FLAG(eth->regs.int_mask, ETH_INT_MASK, RXF_M) ) {
 
                report_interrupt( eth->mac_int );
 
            }
 
 
 
            /* ready to receive next packet */
 
                debug (3, "RX - entering state IDLE\n");
 
            eth->rx.state = ETH_RXSTATE_IDLE;
 
        }
        break;
        break;
    }
    }
}
}
 
 
/* ========================================================================= */
/* ========================================================================= */
 
/* Move to next RX BD */
 
void eth_rx_next_packet( struct eth_device *eth )
 
{
 
    /* Skip any possible leftovers */
 
    if ( eth->rx.bytes_left )
 
        eth_skip_rx_file( eth, eth->rx.bytes_left );
 
}
 
/* "Skip" bytes in RX file */
 
void eth_skip_rx_file( struct eth_device *eth, off_t count )
 
{
 
    eth->rx.offset += count;
 
}
 
 
 
/* Move RX file position back */
 
void eth_rewind_rx_file( struct eth_device *eth, off_t count )
 
{
 
    eth->rx.offset -= count;
 
}
 
/*
 
 * Utility function to read from the ethernet RX file
 
 * This function moves the file pointer to the current place in the packet before reading
 
 */
 
ssize_t eth_read_rx_file( struct eth_device *eth, void *buf, size_t count )
 
{
 
    ssize_t result;
 
 
 
    if ( eth->rx.fd <= 0 ) {
 
        debug( 3,  "Ethernet: No RX file\n" );
 
        return 0;
 
    }
 
 
 
    if ( eth->rx.offset )
 
        if ( lseek( eth->rx.fd, *(eth->rx.offset), SEEK_SET ) == (off_t)-1 ) {
 
            debug( 3,  "Ethernet: Error seeking RX file\n" );
 
            return 0;
 
        }
 
 
 
    result = read( eth->rx.fd, buf, count );
 
 
 
    if ( eth->rx.offset && result >= 0 )
 
        *(eth->rx.offset) += result;
 
 
 
    return result;
 
}
 
 
 
/* ========================================================================= */
 
 
/*
/*
  Reset. Initializes all registers to default and places devices in
  Reset. Initializes all registers to default and places devices in
         memory address space.
         memory address space.
*/
*/
Line 190... Line 441...
 
 
    for ( i = 0; i < MAX_ETHERNETS; ++ i ) {
    for ( i = 0; i < MAX_ETHERNETS; ++ i ) {
        struct eth_device *eth = &(eths[i]);
        struct eth_device *eth = &(eths[i]);
 
 
        eth->eth_number = i;
        eth->eth_number = i;
 
        eth_reset_controller( eth );
 
    }
 
}
 
/* ========================================================================= */
 
 
 
 
 
static void eth_reset_controller(struct eth_device *eth)
 
{
 
    int i = eth->eth_number;
 
    int j;
 
    struct sockaddr_ll sll;
 
 
        eth->baseaddr = config.ethernets[i].baseaddr;
        eth->baseaddr = config.ethernets[i].baseaddr;
 
 
        if ( eth->baseaddr != 0 ) {
        if ( eth->baseaddr != 0 ) {
            /* Mark which DMA controller and channels */
            /* Mark which DMA controller and channels */
            eth->dma = config.ethernets[i].dma;
            eth->dma = config.ethernets[i].dma;
            eth->tx_channel = config.ethernets[i].tx_channel;
            eth->tx_channel = config.ethernets[i].tx_channel;
            eth->rx_channel = config.ethernets[i].rx_channel;
            eth->rx_channel = config.ethernets[i].rx_channel;
 
        eth->rtx_type   = ETH_RTX_SOCK/*config.ethernets[i].rtx_type*/;
 
 
 
        switch (eth->rtx_type) {
 
        case ETH_RTX_FILE:
            /* (Re-)open TX/RX files */
            /* (Re-)open TX/RX files */
            eth->rxfile = config.ethernets[i].rxfile;
            eth->rxfile = config.ethernets[i].rxfile;
            eth->txfile = config.ethernets[i].txfile;
            eth->txfile = config.ethernets[i].txfile;
            eth_close_files( eth );
 
 
            if ( eth->rxfd > 0 )
 
                close( eth->rxfd );
 
            if ( eth->txfd > 0 )
 
                close( eth->txfd );
 
            eth->rxfd = eth->txfd = -1;
 
 
            if ( (eth->rxfd = open( eth->rxfile, O_RDONLY )) < 0 )
            if ( (eth->rxfd = open( eth->rxfile, O_RDONLY )) < 0 )
                fprintf( stderr, "Cannot open Ethernet RX file \"%s\"\n", eth->rxfile );
                fprintf( stderr, "Cannot open Ethernet RX file \"%s\"\n", eth->rxfile );
            if ( (eth->txfd = open( eth->txfile,
            if ( (eth->txfd = open( eth->txfile,
                                    O_RDWR | O_CREAT | O_APPEND | O_SYNC,
                                    O_RDWR | O_CREAT | O_APPEND | O_SYNC,
                                    S_IRUSR | S_IWUSR | S_IRGRP | S_IROTH )) < 0 )
                                    S_IRUSR | S_IWUSR | S_IRGRP | S_IROTH )) < 0 )
                fprintf( stderr, "Cannot open Ethernet TX file \"%s\"\n", eth->txfile );
                fprintf( stderr, "Cannot open Ethernet TX file \"%s\"\n", eth->txfile );
            eth->loopback_offset = lseek( eth->txfd, 0, SEEK_END );
            eth->loopback_offset = lseek( eth->txfd, 0, SEEK_END );
 
 
 
            break;
 
        case ETH_RTX_SOCK:
 
            /* (Re-)open TX/RX sockets */
 
            if (eth->rtx_sock != 0)
 
                break;
 
 
 
            debug (3, "RTX oppening socket...\n");
 
            eth->rtx_sock = socket(PF_PACKET, SOCK_RAW, htons(ETH_P_ALL));
 
            if (eth->rtx_sock == -1) {
 
                fprintf( stderr, "Cannot open rtx_sock.\n");
 
                return;
 
            }
 
 
 
            /* get interface index number */
 
            debug (3, "RTX getting interface...\n");
 
            memset(&(eth->ifr), 0, sizeof(eth->ifr));
 
            strncpy(eth->ifr.ifr_name, "lo"/*config.ethernets[i].sock_interface*/, IFNAMSIZ);
 
            if (ioctl(eth->rtx_sock, SIOCGIFINDEX, &(eth->ifr)) == -1) {
 
                fprintf( stderr, "SIOCGIFINDEX failed!\n");
 
                return;
 
            }
 
            debug (3, "RTX Socket Interface : %d\n", eth->ifr.ifr_ifindex);
 
 
 
            /* Bind to interface... */
 
            debug (3, "Binding to the interface ifindex=%d\n", eth->ifr.ifr_ifindex);
 
            memset(&sll, 0xff, sizeof(sll));
 
            sll.sll_family = AF_PACKET;    /* allways AF_PACKET */
 
            sll.sll_protocol = htons(ETH_P_ALL);
 
            sll.sll_ifindex = eth->ifr.ifr_ifindex;
 
            if (bind(eth->rtx_sock, (struct sockaddr *)&sll, sizeof(sll)) == -1) {
 
                fprintf( stderr, "Error bind().\n");
 
                return;
 
            }
 
 
 
            /* first, flush all received packets. */
 
            debug (3, "Flush");
 
            do {
 
                fd_set fds;
 
                struct timeval t;
 
 
 
                debug( 3, ".");
 
                FD_ZERO(&fds);
 
                FD_SET(eth->rtx_sock, &fds);
 
                memset(&t, 0, sizeof(t));
 
                j = select(FD_SETSIZE, &fds, NULL, NULL, &t);
 
                if (j > 0)
 
                    recv(eth->rtx_sock, eth->rx_buff, j, 0);
 
            } while (j);
 
            debug (3, "\n");
 
 
 
            break;
 
        }
 
 
            /* Set registers to default values */
            /* Set registers to default values */
            memset( &(eth->regs), 0, sizeof(eth->regs) );
            memset( &(eth->regs), 0, sizeof(eth->regs) );
            eth->regs.moder = 0x0000A000;
            eth->regs.moder = 0x0000A000;
            eth->regs.ipgt = 0x00000012;
            eth->regs.ipgt = 0x00000012;
            eth->regs.ipgr1 = 0x0000000C;
            eth->regs.ipgr1 = 0x0000000C;
            eth->regs.ipgr2 = 0x00000012;
            eth->regs.ipgr2 = 0x00000012;
            eth->regs.packetlen = 0x003C0600;
            eth->regs.packetlen = 0x003C0600;
            eth->regs.collconf = 0x000F003F;
            eth->regs.collconf = 0x000F003F;
            eth->regs.miimoder = 0x00000064;
            eth->regs.miimoder = 0x00000064;
            eth->regs.tx_tb_num = 0x00000080;
        eth->regs.tx_bd_num = 0x00000080;
 
 
            /* Initialize TX/RX status */
            /* Initialize TX/RX status */
            memset( &(eth->tx), 0, sizeof(eth->tx) );
            memset( &(eth->tx), 0, sizeof(eth->tx) );
            memset( &(eth->rx), 0, sizeof(eth->rx) );
            memset( &(eth->rx), 0, sizeof(eth->rx) );
            eth->rx.bd_index = eth->regs.tx_bd_num;
            eth->rx.bd_index = eth->regs.tx_bd_num;
 
 
            /* Register memory range */
            /* Register memory range */
            register_memoryarea( eth->baseaddr, ETH_ADDR_SPACE, 4, eth_read32, eth_write32 );
            register_memoryarea( eth->baseaddr, ETH_ADDR_SPACE, 4, eth_read32, eth_write32 );
        }
        }
    }
    }
}
 
/* ========================================================================= */
/* ========================================================================= */
 
 
 
 
/*
/*
  Print register values on stdout
  Print register values on stdout
Line 321... Line 645...
                            (((unsigned long)eth->mac_address[2]) << 16) |
                            (((unsigned long)eth->mac_address[2]) << 16) |
                            (((unsigned long)eth->mac_address[1]) << 8) |
                            (((unsigned long)eth->mac_address[1]) << 8) |
                            (unsigned long)eth->mac_address[0];
                            (unsigned long)eth->mac_address[0];
    case ETH_MAC_ADDR1: return (((unsigned long)eth->mac_address[5]) << 8) |
    case ETH_MAC_ADDR1: return (((unsigned long)eth->mac_address[5]) << 8) |
                            (unsigned long)eth->mac_address[4];
                            (unsigned long)eth->mac_address[4];
    case ETH_DMA_RX_TX: return eth_rx( eth );
    /*case ETH_DMA_RX_TX: return eth_rx( eth );*/
    }
    }
 
 
    if ( (addr >= ETH_BD_BASE) && (addr < ETH_BD_BASE + ETH_BD_SPACE) )
    if ( (addr >= ETH_BD_BASE) && (addr < ETH_BD_BASE + ETH_BD_SPACE) )
        return eth->regs.bd_ram[(addr - ETH_BD_BASE) / 4];
        return eth->regs.bd_ram[(addr - ETH_BD_BASE) / 4];
 
 
Line 373... Line 697...
    case ETH_MAC_ADDR1:
    case ETH_MAC_ADDR1:
        eth->mac_address[4] = value & 0xFF;
        eth->mac_address[4] = value & 0xFF;
        eth->mac_address[5] = (value >> 8) & 0xFF;
        eth->mac_address[5] = (value >> 8) & 0xFF;
        return;
        return;
 
 
    case ETH_DMA_RX_TX: eth_tx( eth, value ); return;
    /*case ETH_DMA_RX_TX: eth_tx( eth, value ); return;*/
    }
    }
 
 
    if ( (addr >= ETH_BD_BASE) && (addr < ETH_BD_BASE + ETH_BD_SPACE) ) {
    if ( (addr >= ETH_BD_BASE) && (addr < ETH_BD_BASE + ETH_BD_SPACE) ) {
        eth->regs.bd_ram[(addr - ETH_BD_BASE) / 4] = value;
        eth->regs.bd_ram[(addr - ETH_BD_BASE) / 4] = value;
        return;
        return;
Line 388... Line 712...
    return;
    return;
}
}
/* ========================================================================= */
/* ========================================================================= */
 
 
 
 
 
/* When TX_BD_NUM is written, also reset current RX BD index */
 
void eth_write_tx_bd_num( struct eth_device *eth, unsigned long value )
 
{
 
    eth->rx.bd_index = eth->regs.tx_bd_num = value & 0xFF;
 
}
 
/* ========================================================================= */
 
 
 
 
/*
/*
  Convert a memory address to a oontroller struct and relative address.
  Convert a memory address to a oontroller struct and relative address.
  Return nonzero on success
  Return nonzero on success
*/
*/
int eth_find_controller( unsigned long addr, struct eth_device **eth, unsigned long *reladdr )
int eth_find_controller( unsigned long addr, struct eth_device **eth, unsigned long *reladdr )

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.