OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_40/] [or1ksim/] [sim-config.h] - Diff between revs 1320 and 1353

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1320 Rev 1353
Line 299... Line 299...
                                         performed inbetween. */
                                         performed inbetween. */
    int loadcycles;                   /* Load and store stalls */
    int loadcycles;                   /* Load and store stalls */
    int storecycles;
    int storecycles;
 
 
    long long reset_cycles;
    long long reset_cycles;
 
 
 
    int hush;                         /* Is simulator to do reg dumps */
  } sim;
  } sim;
 
 
  /* Command line parameters */
  /* Command line parameters */
  struct {
  struct {
    int profile;                      /* Whether profiling was enabled */
    int profile;                      /* Whether profiling was enabled */
Line 356... Line 358...
 
 
/* Read environment from a script file. Does not fail - assumes defaukt configuration instead. */
/* Read environment from a script file. Does not fail - assumes defaukt configuration instead. */
void read_script_file (char *filename);
void read_script_file (char *filename);
 
 
/* Executes set sim command.  Returns nonzero if error.  */
/* Executes set sim command.  Returns nonzero if error.  */
void set_config_command (char *s);
void set_config_command (int argc, char **argv);
 
 
/* Outputs C structure of current config to file */
/* Outputs C structure of current config to file */
void output_cfg (FILE *f);
void output_cfg (FILE *f);
 
 
void init_defconfig();
void init_defconfig();
 
 
int parse_args(int argc, char *argv[]);
int parse_args(int argc, char *argv[]);
 
 
void print_config();
void print_config();
 
 
 
void sim_done(void);
 
 
 
/* Resets all subunits */
 
void sim_reset(void);
 
 
 
/* Handle the sim commandline */
 
void handle_sim_command(void);
#endif
#endif
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.