OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_52/] [or1ksim/] [support/] [dumpverilog.c] - Diff between revs 237 and 260

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 237 Rev 260
Line 59... Line 59...
          if (verify_memoryarea(i) && cur_area->getentry && (entry = cur_area->getentry(i)))
          if (verify_memoryarea(i) && cur_area->getentry && (entry = cur_area->getentry(i)))
            tmp = entry->label;
            tmp = entry->label;
          for(; tmp; tmp = tmp->next)
          for(; tmp; tmp = tmp->next)
            printf("\n//\t%s%s", tmp->name, LABELEND_CHAR);
            printf("\n//\t%s%s", tmp->name, LABELEND_CHAR);
 
 
          printf("\n\tmem['h%x] = %d'h%.2x%.2x", i/DWQ, DW, evalsim_mem8(i), evalsim_mem8(i + 1));
          printf("\n\tmem['h%x] = %d'h%.8lx;", i/DWQ, DW, evalsim_mem32(i));
          printf("%.2x%.2x;", evalsim_mem8(i + 2), evalsim_mem8(i + 3));
 
 
 
          disassemble_insn (_insn);
          disassemble_insn (_insn);
          strcpy (dis, disassembled);
          strcpy (dis, disassembled);
 
 
          if (strlen(dis) < DISWIDTH)
          if (strlen(dis) < DISWIDTH)
Line 111... Line 110...
  for(i = from; i < to; i++) {
  for(i = from; i < to; i++) {
    unsigned int _insn = evalsim_mem32 (i);
    unsigned int _insn = evalsim_mem32 (i);
    int index = insn_decode(_insn);
    int index = insn_decode(_insn);
    if (index >= 0)
    if (index >= 0)
      {
      {
              printf("%.2x%.2x", evalsim_mem8(i), evalsim_mem8(i + 1));
              printf("%.8lx\n", evalsim_mem32(i));
              printf("%.2x%.2x\n", evalsim_mem8(i + 2), evalsim_mem8(i + 3));
 
 
 
              i += insn_len(index) - 1;
              i += insn_len(index) - 1;
      }
      }
    else
    else
      printf("%.2x\n", evalsim_mem8(i));
      printf("%.2x\n", evalsim_mem8(i));

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.