OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_61/] [or1ksim/] [debug/] [debug_unit.c] - Diff between revs 643 and 693

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 643 Rev 693
Line 434... Line 434...
  int result = 0;
  int result = 0;
  unsigned long dsr = mfspr (SPR_DSR);
  unsigned long dsr = mfspr (SPR_DSR);
  unsigned long drr = mfspr (SPR_DRR);
  unsigned long drr = mfspr (SPR_DRR);
 
 
  &debug_ignore_exception;
  &debug_ignore_exception;
  printf ("0x%08x 0x%08x \n", dsr, drr);
 
 
#if DEBUG_JTAG
 
  printf ("dsr 0x%08x drr 0x%08x \n", dsr, drr);
 
#endif
 
 
  switch(except) {
  switch(except) {
    case EXCEPT_RESET:     drr |= result = dsr & SPR_DSR_RSTE; break;
    case EXCEPT_RESET:     drr |= result = dsr & SPR_DSR_RSTE; break;
    case EXCEPT_BUSERR:    drr |= result = dsr & SPR_DSR_BUSEE; break;
    case EXCEPT_BUSERR:    drr |= result = dsr & SPR_DSR_BUSEE; break;
    case EXCEPT_DPF:       drr |= result = dsr & SPR_DSR_DPFE; break;
    case EXCEPT_DPF:       drr |= result = dsr & SPR_DSR_DPFE; break;
    case EXCEPT_IPF:       drr |= result = dsr & SPR_DSR_IPFE; break;
    case EXCEPT_IPF:       drr |= result = dsr & SPR_DSR_IPFE; break;
Line 452... Line 456...
    case EXCEPT_SYSCALL:   drr |= result = dsr & SPR_DSR_SCE; break;
    case EXCEPT_SYSCALL:   drr |= result = dsr & SPR_DSR_SCE; break;
    case EXCEPT_TRAP:      drr |= result = dsr & SPR_DSR_TE; break;
    case EXCEPT_TRAP:      drr |= result = dsr & SPR_DSR_TE; break;
    default:
    default:
      break;
      break;
  }
  }
  printf ("0x%08x 0x%08x %i\n", dsr, drr, result);
#if DEBUG_JTAG
 
  printf ("dsr 0x%08x drr 0x%08x result %i\n", dsr, drr, result);
 
#endif
 
 
  mtspr (SPR_DRR, drr);
  mtspr (SPR_DRR, drr);
  set_stall_state (result != 0);
  set_stall_state (result != 0);
  return (result != 0);
  return (result != 0);
}
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.