OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_61/] [or1ksim/] [mmu/] [dmmu.c] - Diff between revs 884 and 886

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 884 Rev 886
Line 71... Line 71...
 
 
    /* Set LRUs */
    /* Set LRUs */
    for (i = 0; i < config.dmmu.nways; i++)
    for (i = 0; i < config.dmmu.nways; i++)
      if (testsprbits(SPR_DTLBMR_BASE(i) + set, SPR_DTLBMR_LRU))
      if (testsprbits(SPR_DTLBMR_BASE(i) + set, SPR_DTLBMR_LRU))
        setsprbits(SPR_DTLBMR_BASE(i) + set, SPR_DTLBMR_LRU, getsprbits(SPR_DTLBMR_BASE(i) + set, SPR_DTLBMR_LRU) - 1);
        setsprbits(SPR_DTLBMR_BASE(i) + set, SPR_DTLBMR_LRU, getsprbits(SPR_DTLBMR_BASE(i) + set, SPR_DTLBMR_LRU) - 1);
    setsprbits(SPR_DTLBMR_BASE(way) + set, SPR_DTLBMR_LRU, config.dmmu.ustates - 1);
    setsprbits(SPR_DTLBMR_BASE(way) + set, SPR_DTLBMR_LRU, config.dmmu.nsets - 1);
 
 
    /* Check if page is cache inhibited */
    /* Check if page is cache inhibited */
    data_ci = (mfspr(SPR_DTLBTR_BASE(way) + set) & SPR_DTLBTR_CI) == SPR_DTLBTR_CI;
    data_ci = (mfspr(SPR_DTLBTR_BASE(way) + set) & SPR_DTLBTR_CI) == SPR_DTLBTR_CI;
 
 
    runtime.sim.mem_cycles += config.dmmu.hitdelay;
    runtime.sim.mem_cycles += config.dmmu.hitdelay;
    ppn = mfspr(SPR_DTLBTR_BASE(way) + set) / config.dmmu.pagesize;
    ppn = mfspr(SPR_DTLBTR_BASE(way) + set) / config.dmmu.pagesize;
    return (ppn * config.dmmu.pagesize) + (virtaddr % config.dmmu.pagesize);
    return (ppn * config.dmmu.pagesize) + (virtaddr % config.dmmu.pagesize);
  }
  }
  else {  /* No, we didn't. */
  else {  /* No, we didn't. */
    int minlru = config.dmmu.ustates - 1;
    int minlru = config.dmmu.nsets - 1;
    int minway = 0;
    int minway = 0;
 
 
    dmmu_stats.loads_tlbmiss++;
    dmmu_stats.loads_tlbmiss++;
#if 0
#if 0
    for (i = 0; i < config.dmmu.nways; i++)
    for (i = 0; i < config.dmmu.nways; i++)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.