OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_61/] [or1ksim/] [sim-config.c] - Diff between revs 167 and 173

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 167 Rev 173
Line 40... Line 40...
        config.uarts[0].txfile = "/tmp/uart0.tx";
        config.uarts[0].txfile = "/tmp/uart0.tx";
        config.uarts[0].baseaddr = 0x80000000;
        config.uarts[0].baseaddr = 0x80000000;
        config.ram.startaddr = MEMORY_START;
        config.ram.startaddr = MEMORY_START;
        config.ram.endaddr = MEMORY_START + MEMORY_LEN;
        config.ram.endaddr = MEMORY_START + MEMORY_LEN;
        config.simdebug = 0;
        config.simdebug = 0;
 
        config.profile = 0;
        config.iprompt = 0;
        config.iprompt = 0;
        config.dependstats = 1;
        config.dependstats = 1;
        config.dependency = 1;
        config.dependency = 1;
        config.history = 1;
        config.history = 1;
        config.superscalar = 1;
        config.superscalar = 1;
Line 177... Line 178...
                if (strcmp(*argv, "-rev") == 0) {
                if (strcmp(*argv, "-rev") == 0) {
                        argv++; argc--;
                        argv++; argc--;
                        val = strtoul(*argv, NULL, 0);
                        val = strtoul(*argv, NULL, 0);
                        setsprbits(SPR_VR, SPR_VR_REV, val);
                        setsprbits(SPR_VR, SPR_VR_REV, val);
                        argv++; argc--;
                        argv++; argc--;
 
                } else
 
                if (strcmp(*argv, "-profile") == 0) {
 
                  config.profile = 1;
 
                  argv++; argc--;
                } else {
                } else {
                        printf("Unknown option: %s\n", *argv);
                        printf("Unknown option: %s\n", *argv);
                        return 1;
                        return 1;
                }
                }
        }
        }

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.